OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 896

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 788 lampret
// Revision 1.5  2002/02/11 04:33:17  lampret
48
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
49
//
50 660 lampret
// Revision 1.4  2002/02/01 19:56:54  lampret
51
// Fixed combinational loops.
52
//
53 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
54
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
55
//
56 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
57
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
58
//
59 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
60
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
61
//
62 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
63
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
64
//
65
// Revision 1.9  2001/10/14 13:12:09  lampret
66
// MP3 version.
67
//
68
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
69
// no message
70
//
71
// Revision 1.4  2001/08/13 03:36:20  lampret
72
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
73
//
74
// Revision 1.3  2001/08/09 13:39:33  lampret
75
// Major clean-up.
76
//
77
// Revision 1.2  2001/07/22 03:31:53  lampret
78
// Fixed RAM's oen bug. Cache bypass under development.
79
//
80
// Revision 1.1  2001/07/20 00:46:03  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
//
91
// Data cache
92
//
93
module or1200_ic_top(
94
        // Rst, clk and clock control
95
        clk, rst,
96
 
97
        // External i/f
98
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
99
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
100
 
101
        // Internal i/f
102
        ic_en,
103 660 lampret
        icimmu_adr_i, icimmu_cycstb_i, icimmu_ci_i,
104 788 lampret
        icpu_sel_i, icpu_tag_i,
105 617 lampret
        icpu_dat_o, icpu_ack_o, icimmu_rty_o, icimmu_err_o, icimmu_tag_o,
106 504 lampret
 
107
        // SPRs
108
        spr_cs, spr_write, spr_dat_i
109
);
110
 
111
parameter dw = `OR1200_OPERAND_WIDTH;
112
 
113
//
114
// I/O
115
//
116
 
117
//
118
// Clock and reset
119
//
120
input                           clk;
121
input                           rst;
122
 
123
//
124
// External I/F
125
//
126
output  [dw-1:0]         icbiu_dat_o;
127
output  [31:0]                   icbiu_adr_o;
128
output                          icbiu_cyc_o;
129
output                          icbiu_stb_o;
130
output                          icbiu_we_o;
131
output  [3:0]                    icbiu_sel_o;
132
output                          icbiu_cab_o;
133
input   [dw-1:0]         icbiu_dat_i;
134
input                           icbiu_ack_i;
135
input                           icbiu_err_i;
136
 
137
//
138
// Internal I/F
139
//
140
input                           ic_en;
141
input   [31:0]                   icimmu_adr_i;
142 660 lampret
input                           icimmu_cycstb_i;
143 504 lampret
input                           icimmu_ci_i;
144
input   [3:0]                    icpu_sel_i;
145
input   [3:0]                    icpu_tag_i;
146
output  [dw-1:0]         icpu_dat_o;
147
output                          icpu_ack_o;
148 617 lampret
output                          icimmu_rty_o;
149 504 lampret
output                          icimmu_err_o;
150
output  [3:0]                    icimmu_tag_o;
151
 
152
//
153
// SPR access
154
//
155
input                           spr_cs;
156
input                           spr_write;
157
input   [31:0]                   spr_dat_i;
158
 
159
//
160
// Internal wires and regs
161
//
162
wire                            tag_v;
163
wire    [`OR1200_ICTAG_W-2:0]    tag;
164
wire    [dw-1:0]         to_icram;
165
wire    [dw-1:0]         from_icram;
166
wire    [31:0]                   saved_addr;
167
wire    [3:0]                    icram_we;
168
wire                            ictag_we;
169
wire    [31:0]                   ic_addr;
170
wire                            icfsm_biu_read;
171
reg                             tagcomp_miss;
172
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
173
wire                            ictag_en;
174
wire                            ictag_v;
175
wire                            ic_inv;
176
wire                            icfsm_first_hit_ack;
177
wire                            icfsm_first_miss_ack;
178
wire                            icfsm_first_miss_err;
179
wire                            icfsm_burst;
180 660 lampret
wire                            icfsm_tag_we;
181 504 lampret
 
182
//
183
// Simple assignments
184
//
185
assign icbiu_adr_o = ic_addr;
186
assign ic_inv = spr_cs & spr_write;
187 660 lampret
assign ictag_we = icfsm_tag_we | ic_inv;
188 504 lampret
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
189
assign ictag_en = ic_inv | ic_en;
190
assign ictag_v = ~ic_inv;
191
 
192
//
193
// Data to BIU is from ICRAM when IC is enabled or from LSU when
194
// IC is disabled
195
//
196
assign icbiu_dat_o = 32'h00000000;
197
 
198
//
199
// Bypases of the IC when IC is disabled
200
//
201 660 lampret
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icimmu_cycstb_i;
202
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icimmu_cycstb_i;
203 504 lampret
assign icbiu_we_o = 1'b0;
204
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icpu_sel_i;
205
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
206 617 lampret
assign icimmu_rty_o = ~icpu_ack_o & ~icimmu_err_o;
207 562 lampret
assign icimmu_tag_o = icimmu_err_o ? `OR1200_ITAG_BE : icpu_tag_i;
208 504 lampret
 
209
//
210
// CPU normal and error termination
211
//
212 617 lampret
assign icpu_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
213 504 lampret
assign icimmu_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
214
 
215
//
216
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
217
//
218
assign ic_addr = (icfsm_biu_read) ? saved_addr : icimmu_adr_i;
219
 
220
//
221
// Select between input data generated by LSU or by BIU
222
//
223
assign to_icram = icbiu_dat_i;
224
 
225
//
226
// Select between data generated by ICRAM or passed by BIU
227
//
228
assign icpu_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
229
 
230
//
231
// Tag comparison
232
//
233
always @(tag or saved_addr or tag_v) begin
234
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
235
                tagcomp_miss = 1'b1;
236
        else
237
                tagcomp_miss = 1'b0;
238
end
239
 
240
//
241
// Instantiation of IC Finite State Machine
242
//
243
or1200_ic_fsm or1200_ic_fsm(
244
        .clk(clk),
245
        .rst(rst),
246
        .ic_en(ic_en),
247 660 lampret
        .icimmu_cycstb_i(icimmu_cycstb_i),
248 562 lampret
        .icimmu_ci_i(icimmu_ci_i),
249 504 lampret
        .tagcomp_miss(tagcomp_miss),
250
        .biudata_valid(icbiu_ack_i),
251
        .biudata_error(icbiu_err_i),
252
        .start_addr(icimmu_adr_i),
253
        .saved_addr(saved_addr),
254
        .icram_we(icram_we),
255
        .biu_read(icfsm_biu_read),
256
        .first_hit_ack(icfsm_first_hit_ack),
257
        .first_miss_ack(icfsm_first_miss_ack),
258
        .first_miss_err(icfsm_first_miss_err),
259 660 lampret
        .burst(icfsm_burst),
260
        .tag_we(icfsm_tag_we)
261 504 lampret
);
262
 
263
//
264
// Instantiation of IC main memory
265
//
266
or1200_ic_ram or1200_ic_ram(
267
        .clk(clk),
268
        .rst(rst),
269
        .addr(ic_addr[`OR1200_ICINDXH:2]),
270
        .en(ic_en),
271
        .we(icram_we),
272
        .datain(to_icram),
273
        .dataout(from_icram)
274
);
275
 
276
//
277
// Instantiation of IC TAG memory
278
//
279
or1200_ic_tag or1200_ic_tag(
280
        .clk(clk),
281
        .rst(rst),
282
        .addr(ictag_addr),
283
        .en(ictag_en),
284
        .we(ictag_we),
285
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
286
        .tag_v(tag_v),
287
        .tag(tag)
288
);
289
 
290
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.