OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_1/] [or1200/] [rtl/] [verilog/] [or1200_rfram_generic.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 871 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file generic memory                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generic (flip-flop based) register file memory              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - nothing                                                  ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
// $Log: not supported by cvs2svn $
46
//
47
 
48
// synopsys translate_off
49
`include "timescale.v"
50
// synopsys translate_on
51
`include "or1200_defines.v"
52
 
53
module or1200_rfram_generic(
54
        // Clock and reset
55
        clk, rst,
56
 
57
        // Port A
58
        ce_a, addr_a, do_a,
59
 
60
        // Port B
61
        ce_b, addr_b, do_b,
62
 
63
        // Port W
64
        ce_w, we_w, addr_w, di_w
65
);
66
 
67
parameter dw = `OR1200_OPERAND_WIDTH;
68
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
69
 
70
//
71
// I/O
72
//
73
 
74
//
75
// Clock and reset
76
//
77
input                           clk;
78
input                           rst;
79
 
80
//
81
// Port A
82
//
83
input                           ce_a;
84
input   [aw-1:0]         addr_a;
85
output  [dw-1:0]         do_a;
86
 
87
//
88
// Port B
89
//
90
input                           ce_b;
91
input   [aw-1:0]         addr_b;
92
output  [dw-1:0]         do_b;
93
 
94
//
95
// Port W
96
//
97
input                           ce_w;
98
input                           we_w;
99
input   [aw-1:0]         addr_w;
100
input   [dw-1:0]         di_w;
101
 
102
//
103
// Internal wires and regs
104
//
105
reg     [aw-1:0]         intaddr_a;
106
reg     [aw-1:0]         intaddr_b;
107
reg     [32*dw-1:0]              mem;
108
reg     [dw-1:0]         do_a;
109
reg     [dw-1:0]         do_b;
110
 
111
//
112
// Write port
113
//
114
always @(posedge clk or posedge rst)
115
        if (rst) begin
116
                mem <= #1 1024'h0;
117
        end
118
        else if (ce_w & we_w)
119
                case (addr_w)   // synopsys parallel_case full_case
120
                        5'd00: mem[32*0+31:32*0] <= #1 di_w;
121
                        5'd01: mem[32*1+31:32*1] <= #1 di_w;
122
                        5'd02: mem[32*2+31:32*2] <= #1 di_w;
123
                        5'd03: mem[32*3+31:32*3] <= #1 di_w;
124
                        5'd04: mem[32*4+31:32*4] <= #1 di_w;
125
                        5'd05: mem[32*5+31:32*5] <= #1 di_w;
126
                        5'd06: mem[32*6+31:32*6] <= #1 di_w;
127
                        5'd07: mem[32*7+31:32*7] <= #1 di_w;
128
                        5'd08: mem[32*8+31:32*8] <= #1 di_w;
129
                        5'd09: mem[32*9+31:32*9] <= #1 di_w;
130
                        5'd10: mem[32*10+31:32*10] <= #1 di_w;
131
                        5'd11: mem[32*11+31:32*11] <= #1 di_w;
132
                        5'd12: mem[32*12+31:32*12] <= #1 di_w;
133
                        5'd13: mem[32*13+31:32*13] <= #1 di_w;
134
                        5'd14: mem[32*14+31:32*14] <= #1 di_w;
135
                        5'd15: mem[32*15+31:32*15] <= #1 di_w;
136
                        5'd16: mem[32*16+31:32*16] <= #1 di_w;
137
                        5'd17: mem[32*17+31:32*17] <= #1 di_w;
138
                        5'd18: mem[32*18+31:32*18] <= #1 di_w;
139
                        5'd19: mem[32*19+31:32*19] <= #1 di_w;
140
                        5'd20: mem[32*20+31:32*20] <= #1 di_w;
141
                        5'd21: mem[32*21+31:32*21] <= #1 di_w;
142
                        5'd22: mem[32*22+31:32*22] <= #1 di_w;
143
                        5'd23: mem[32*23+31:32*23] <= #1 di_w;
144
                        5'd24: mem[32*24+31:32*24] <= #1 di_w;
145
                        5'd25: mem[32*25+31:32*25] <= #1 di_w;
146
                        5'd26: mem[32*26+31:32*26] <= #1 di_w;
147
                        5'd27: mem[32*27+31:32*27] <= #1 di_w;
148
                        5'd28: mem[32*28+31:32*28] <= #1 di_w;
149
                        5'd29: mem[32*29+31:32*29] <= #1 di_w;
150
                        5'd30: mem[32*30+31:32*30] <= #1 di_w;
151
                        5'd31: mem[32*31+31:32*31] <= #1 di_w;
152
                endcase
153
 
154
//
155
// Read port A
156
//
157
always @(posedge clk or posedge rst)
158
        if (rst) begin
159
                intaddr_a <= #1 5'h00;
160
        end
161
        else if (ce_a)
162
                intaddr_a <= #1 addr_a;
163
 
164
always @(mem or intaddr_a)
165
        case (intaddr_a)        // synopsys parallel_case full_case
166
                5'd00: do_a = mem[32*0+31:32*0];
167
                5'd01: do_a = mem[32*1+31:32*1];
168
                5'd02: do_a = mem[32*2+31:32*2];
169
                5'd03: do_a = mem[32*3+31:32*3];
170
                5'd04: do_a = mem[32*4+31:32*4];
171
                5'd05: do_a = mem[32*5+31:32*5];
172
                5'd06: do_a = mem[32*6+31:32*6];
173
                5'd07: do_a = mem[32*7+31:32*7];
174
                5'd08: do_a = mem[32*8+31:32*8];
175
                5'd09: do_a = mem[32*9+31:32*9];
176
                5'd10: do_a = mem[32*10+31:32*10];
177
                5'd11: do_a = mem[32*11+31:32*11];
178
                5'd12: do_a = mem[32*12+31:32*12];
179
                5'd13: do_a = mem[32*13+31:32*13];
180
                5'd14: do_a = mem[32*14+31:32*14];
181
                5'd15: do_a = mem[32*15+31:32*15];
182
                5'd16: do_a = mem[32*16+31:32*16];
183
                5'd17: do_a = mem[32*17+31:32*17];
184
                5'd18: do_a = mem[32*18+31:32*18];
185
                5'd19: do_a = mem[32*19+31:32*19];
186
                5'd20: do_a = mem[32*20+31:32*20];
187
                5'd21: do_a = mem[32*21+31:32*21];
188
                5'd22: do_a = mem[32*22+31:32*22];
189
                5'd23: do_a = mem[32*23+31:32*23];
190
                5'd24: do_a = mem[32*24+31:32*24];
191
                5'd25: do_a = mem[32*25+31:32*25];
192
                5'd26: do_a = mem[32*26+31:32*26];
193
                5'd27: do_a = mem[32*27+31:32*27];
194
                5'd28: do_a = mem[32*28+31:32*28];
195
                5'd29: do_a = mem[32*29+31:32*29];
196
                5'd30: do_a = mem[32*30+31:32*30];
197
                5'd31: do_a = mem[32*31+31:32*31];
198
        endcase
199
 
200
//
201
// Read port B
202
//
203
always @(posedge clk or posedge rst)
204
        if (rst) begin
205
                intaddr_b <= #1 5'h00;
206
        end
207
        else if (ce_b)
208
                intaddr_b <= #1 addr_b;
209
 
210
always @(mem or intaddr_b)
211
        case (intaddr_b)        // synopsys parallel_case full_case
212
                5'd00: do_b = mem[32*0+31:32*0];
213
                5'd01: do_b = mem[32*1+31:32*1];
214
                5'd02: do_b = mem[32*2+31:32*2];
215
                5'd03: do_b = mem[32*3+31:32*3];
216
                5'd04: do_b = mem[32*4+31:32*4];
217
                5'd05: do_b = mem[32*5+31:32*5];
218
                5'd06: do_b = mem[32*6+31:32*6];
219
                5'd07: do_b = mem[32*7+31:32*7];
220
                5'd08: do_b = mem[32*8+31:32*8];
221
                5'd09: do_b = mem[32*9+31:32*9];
222
                5'd10: do_b = mem[32*10+31:32*10];
223
                5'd11: do_b = mem[32*11+31:32*11];
224
                5'd12: do_b = mem[32*12+31:32*12];
225
                5'd13: do_b = mem[32*13+31:32*13];
226
                5'd14: do_b = mem[32*14+31:32*14];
227
                5'd15: do_b = mem[32*15+31:32*15];
228
                5'd16: do_b = mem[32*16+31:32*16];
229
                5'd17: do_b = mem[32*17+31:32*17];
230
                5'd18: do_b = mem[32*18+31:32*18];
231
                5'd19: do_b = mem[32*19+31:32*19];
232
                5'd20: do_b = mem[32*20+31:32*20];
233
                5'd21: do_b = mem[32*21+31:32*21];
234
                5'd22: do_b = mem[32*22+31:32*22];
235
                5'd23: do_b = mem[32*23+31:32*23];
236
                5'd24: do_b = mem[32*24+31:32*24];
237
                5'd25: do_b = mem[32*25+31:32*25];
238
                5'd26: do_b = mem[32*26+31:32*26];
239
                5'd27: do_b = mem[32*27+31:32*27];
240
                5'd28: do_b = mem[32*28+31:32*28];
241
                5'd29: do_b = mem[32*29+31:32*29];
242
                5'd30: do_b = mem[32*30+31:32*30];
243
                5'd31: do_b = mem[32*31+31:32*31];
244
        endcase
245
 
246
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.