OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_15/] [or1200/] [rtl/] [verilog/] [or1200_spram_256x21.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1200 markom
// Revision 1.5  2003/08/19 16:41:23  simons
67
// Scan signals mess fixed.
68
//
69 1184 simons
// Revision 1.4  2003/08/11 13:32:19  simons
70
// BIST interface added for Artisan memory instances.
71
//
72 1179 simons
// Revision 1.3  2003/04/07 01:19:07  lampret
73
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
74
//
75 1129 lampret
// Revision 1.2  2002/10/17 20:04:40  lampret
76
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
77
//
78 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81 504 lampret
// Revision 1.10  2001/11/27 21:24:04  lampret
82
// Changed instantiation name of VS RAMs.
83
//
84
// Revision 1.9  2001/11/27 19:45:04  lampret
85
// Fixed VS RAM instantiation - again.
86
//
87
// Revision 1.8  2001/11/23 21:42:31  simons
88
// Program counter divided to PPC and NPC.
89
//
90
// Revision 1.6  2001/10/21 17:57:16  lampret
91
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
92
//
93
// Revision 1.5  2001/10/14 13:12:09  lampret
94
// MP3 version.
95
//
96
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
97
// no message
98
//
99
// Revision 1.1  2001/08/09 13:39:33  lampret
100
// Major clean-up.
101
//
102
// Revision 1.2  2001/07/30 05:38:02  lampret
103
// Adding empty directories required by HDL coding guidelines
104
//
105
//
106
 
107
// synopsys translate_off
108
`include "timescale.v"
109
// synopsys translate_on
110
`include "or1200_defines.v"
111
 
112
module or1200_spram_256x21(
113 1063 lampret
`ifdef OR1200_BIST
114
        // RAM BIST
115 1200 markom
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
116 1063 lampret
`endif
117 504 lampret
        // Generic synchronous single-port RAM interface
118
        clk, rst, ce, we, oe, addr, di, do
119
);
120
 
121
//
122
// Default address and data buses width
123
//
124
parameter aw = 8;
125
parameter dw = 21;
126
 
127 1063 lampret
`ifdef OR1200_BIST
128 504 lampret
//
129 1063 lampret
// RAM BIST
130
//
131 1200 markom
input                   mbist_si_i;
132
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
133
output                  mbist_so_o;
134 1063 lampret
`endif
135
 
136
//
137 504 lampret
// Generic synchronous single-port RAM interface
138
//
139
input                   clk;    // Clock
140
input                   rst;    // Reset
141
input                   ce;     // Chip enable input
142
input                   we;     // Write enable input
143
input                   oe;     // Output enable input
144
input   [aw-1:0] addr;   // address bus inputs
145
input   [dw-1:0] di;     // input data bus
146
output  [dw-1:0] do;     // output data bus
147
 
148
//
149
// Internal wires and registers
150
//
151
wire    [10:0]           unconnected;
152
 
153 1184 simons
`ifdef OR1200_ARTISAN_SSP
154
`else
155
`ifdef OR1200_VIRTUALSILICON_SSP
156
`else
157 1063 lampret
`ifdef OR1200_BIST
158 1200 markom
assign mbist_so_o = mbist_si_i;
159 1063 lampret
`endif
160 1184 simons
`endif
161
`endif
162 1063 lampret
 
163 1184 simons
 
164 504 lampret
`ifdef OR1200_ARTISAN_SSP
165
 
166
//
167
// Instantiation of ASIC memory:
168
//
169
// Artisan Synchronous Single-Port RAM (ra1sh)
170
//
171
`ifdef UNUSED
172
art_hssp_256x21 #(dw, 1<<aw, aw) artisan_ssp(
173
`else
174 1179 simons
`ifdef OR1200_BIST
175
art_hssp_256x21_bist artisan_ssp(
176
`else
177 504 lampret
art_hssp_256x21 artisan_ssp(
178
`endif
179 1179 simons
`endif
180
`ifdef OR1200_BIST
181
        // RAM BIST
182 1200 markom
        .mbist_si_i(mbist_si_i),
183
        .mbist_so_o(mbist_so_o),
184
        .mbist_ctrl_i(mbist_ctrl_i),
185 1179 simons
`endif
186
        .CLK(clk),
187
        .CEN(~ce),
188
        .WEN(~we),
189
        .A(addr),
190
        .D(di),
191
        .OEN(~oe),
192
        .Q(do)
193 504 lampret
);
194
 
195
`else
196
 
197
`ifdef OR1200_AVANT_ATP
198
 
199
//
200
// Instantiation of ASIC memory:
201
//
202
// Avant! Asynchronous Two-Port RAM
203
//
204
avant_atp avant_atp(
205
        .web(~we),
206
        .reb(),
207
        .oeb(~oe),
208
        .rcsb(),
209
        .wcsb(),
210
        .ra(addr),
211
        .wa(addr),
212
        .di(di),
213
        .do(do)
214
);
215
 
216
`else
217
 
218
`ifdef OR1200_VIRAGE_SSP
219
 
220
//
221
// Instantiation of ASIC memory:
222
//
223
// Virage Synchronous 1-port R/W RAM
224
//
225
virage_ssp virage_ssp(
226
        .clk(clk),
227
        .adr(addr),
228
        .d(di),
229
        .we(we),
230
        .oe(oe),
231
        .me(ce),
232
        .q(do)
233
);
234
 
235
`else
236
 
237
`ifdef OR1200_VIRTUALSILICON_SSP
238
 
239
//
240
// Instantiation of ASIC memory:
241
//
242
// Virtual Silicon Single-Port Synchronous SRAM
243
//
244
`ifdef UNUSED
245
vs_hdsp_256x21 #(1<<aw, aw-1, dw-1) vs_ssp(
246
`else
247 1063 lampret
`ifdef OR1200_BIST
248
vs_hdsp_256x21_bist vs_ssp(
249
`else
250 504 lampret
vs_hdsp_256x21 vs_ssp(
251
`endif
252 1063 lampret
`endif
253
`ifdef OR1200_BIST
254
        // RAM BIST
255 1200 markom
        .mbist_si_i(mbist_si_i),
256
        .mbist_so_o(mbist_so_o),
257
        .mbist_ctrl_i(mbist_ctrl_i),
258 1063 lampret
`endif
259 504 lampret
        .CK(clk),
260
        .ADR(addr),
261
        .DI(di),
262
        .WEN(~we),
263
        .CEN(~ce),
264
        .OEN(~oe),
265
        .DOUT(do)
266
);
267
 
268
`else
269
 
270
`ifdef OR1200_XILINX_RAMB4
271
 
272
//
273
// Instantiation of FPGA memory:
274
//
275
// Virtex/Spartan2
276
//
277
 
278
//
279
// Block 0
280
//
281
RAMB4_S16 ramb4_s16_0(
282
        .CLK(clk),
283
        .RST(rst),
284
        .ADDR(addr),
285
        .DI(di[15:0]),
286
        .EN(ce),
287
        .WE(we),
288
        .DO(do[15:0])
289
);
290
 
291
//
292
// Block 1
293
//
294
RAMB4_S16 ramb4_s16_1(
295
        .CLK(clk),
296
        .RST(rst),
297
        .ADDR(addr),
298
        .DI({11'b00000000000, di[20:16]}),
299
        .EN(ce),
300
        .WE(we),
301
        .DO({unconnected, do[20:16]})
302
);
303
 
304
`else
305
 
306 1129 lampret
`ifdef OR1200_ALTERA_LPM
307
 
308 504 lampret
//
309 1129 lampret
// Instantiation of FPGA memory:
310
//
311
// Altera LPM
312
//
313
// Added By Jamil Khatib
314
//
315
 
316
wire    wr;
317
 
318
assign  wr = ce & we;
319
 
320
initial $display("Using Altera LPM.");
321
 
322
lpm_ram_dq lpm_ram_dq_component (
323
        .address(addr),
324
        .inclock(clk),
325
        .outclock(clk),
326
        .data(di),
327
        .we(wr),
328
        .q(do)
329
);
330
 
331
defparam lpm_ram_dq_component.lpm_width = dw,
332
        lpm_ram_dq_component.lpm_widthad = aw,
333
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
334
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
335
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
336
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
337
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
338
 
339
`else
340
 
341
//
342 504 lampret
// Generic single-port synchronous RAM model
343
//
344
 
345
//
346
// Generic RAM's registers and wires
347
//
348
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
349
reg     [dw-1:0] do_reg;                 // RAM data output register
350
 
351
//
352
// Data output drivers
353
//
354 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
355 504 lampret
 
356
//
357
// RAM read and write
358
//
359
always @(posedge clk)
360
        if (ce && !we)
361
                do_reg <= #1 mem[addr];
362
        else if (ce && we)
363
                mem[addr] <= #1 di;
364
 
365 1129 lampret
`endif  // !OR1200_ALTERA_LPM
366 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
367
`endif  // !OR1200_VIRTUALSILICON_SSP
368
`endif  // !OR1200_VIRAGE_SSP
369
`endif  // !OR1200_AVANT_ATP
370
`endif  // !OR1200_ARTISAN_SSP
371
 
372
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.