OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_15/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x22.v] - Blame information for rev 1184

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1184 simons
// Revision 1.4  2003/08/11 13:32:19  simons
67
// BIST interface added for Artisan memory instances.
68
//
69 1179 simons
// Revision 1.3  2003/04/07 01:19:07  lampret
70
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
71
//
72 1129 lampret
// Revision 1.2  2002/10/17 20:04:41  lampret
73
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
74
//
75 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
76
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
77
//
78 504 lampret
// Revision 1.7  2001/11/02 18:57:14  lampret
79
// Modified virtual silicon instantiations.
80
//
81
// Revision 1.6  2001/10/21 17:57:16  lampret
82
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
83
//
84
// Revision 1.5  2001/10/14 13:12:09  lampret
85
// MP3 version.
86
//
87
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
88
// no message
89
//
90
// Revision 1.1  2001/08/09 13:39:33  lampret
91
// Major clean-up.
92
//
93
// Revision 1.2  2001/07/30 05:38:02  lampret
94
// Adding empty directories required by HDL coding guidelines
95
//
96
//
97
 
98
// synopsys translate_off
99
`include "timescale.v"
100
// synopsys translate_on
101
`include "or1200_defines.v"
102
 
103
module or1200_spram_64x22(
104 1063 lampret
`ifdef OR1200_BIST
105
        // RAM BIST
106
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
107
`endif
108 504 lampret
        // Generic synchronous single-port RAM interface
109
        clk, rst, ce, we, oe, addr, di, do
110
);
111
 
112
//
113
// Default address and data buses width
114
//
115
parameter aw = 6;
116
parameter dw = 22;
117
 
118 1063 lampret
`ifdef OR1200_BIST
119 504 lampret
//
120 1063 lampret
// RAM BIST
121
//
122
input                   scanb_rst,
123
                        scanb_si,
124
                        scanb_en,
125
                        scanb_clk;
126
output                  scanb_so;
127
`endif
128
 
129
//
130 504 lampret
// Generic synchronous single-port RAM interface
131
//
132
input                   clk;    // Clock
133
input                   rst;    // Reset
134
input                   ce;     // Chip enable input
135
input                   we;     // Write enable input
136
input                   oe;     // Output enable input
137
input   [aw-1:0] addr;   // address bus inputs
138
input   [dw-1:0] di;     // input data bus
139
output  [dw-1:0] do;     // output data bus
140
 
141
//
142
// Internal wires and registers
143
//
144
wire    [9:0]            unconnected;
145
 
146 1184 simons
`ifdef OR1200_ARTISAN_SSP
147
`else
148
`ifdef OR1200_VIRTUALSILICON_SSP
149
`else
150 1063 lampret
`ifdef OR1200_BIST
151
assign scanb_so = scanb_si;
152
`endif
153 1184 simons
`endif
154
`endif
155 1063 lampret
 
156 504 lampret
`ifdef OR1200_ARTISAN_SSP
157
 
158
//
159
// Instantiation of ASIC memory:
160
//
161
// Artisan Synchronous Single-Port RAM (ra1sh)
162
//
163
`ifdef UNUSED
164
art_hssp_64x22 #(dw, 1<<aw, aw) artisan_ssp(
165
`else
166 1179 simons
`ifdef OR1200_BIST
167
art_hssp_64x22_bist artisan_ssp(
168
`else
169 504 lampret
art_hssp_64x22 artisan_ssp(
170
`endif
171 1179 simons
`endif
172
`ifdef OR1200_BIST
173
        // RAM BIST
174
        .scanb_rst(scanb_rst),
175
        .scanb_si(scanb_si),
176
        .scanb_so(scanb_so),
177
        .scanb_en(scanb_en),
178
        .scanb_clk(scanb_clk),
179
`endif
180
        .CLK(clk),
181
        .CEN(~ce),
182
        .WEN(~we),
183
        .A(addr),
184
        .D(di),
185
        .OEN(~oe),
186
        .Q(do)
187 504 lampret
);
188
 
189
`else
190
 
191
`ifdef OR1200_AVANT_ATP
192
 
193
//
194
// Instantiation of ASIC memory:
195
//
196
// Avant! Asynchronous Two-Port RAM
197
//
198
avant_atp avant_atp(
199
        .web(~we),
200
        .reb(),
201
        .oeb(~oe),
202
        .rcsb(),
203
        .wcsb(),
204
        .ra(addr),
205
        .wa(addr),
206
        .di(di),
207
        .do(do)
208
);
209
 
210
`else
211
 
212
`ifdef OR1200_VIRAGE_SSP
213
 
214
//
215
// Instantiation of ASIC memory:
216
//
217
// Virage Synchronous 1-port R/W RAM
218
//
219
virage_ssp virage_ssp(
220
        .clk(clk),
221
        .adr(addr),
222
        .d(di),
223
        .we(we),
224
        .oe(oe),
225
        .me(ce),
226
        .q(do)
227
);
228
 
229
`else
230
 
231
`ifdef OR1200_VIRTUALSILICON_SSP
232
 
233
//
234
// Instantiation of ASIC memory:
235
//
236
// Virtual Silicon Single-Port Synchronous SRAM
237
//
238
`ifdef UNUSED
239
vs_hdsp_64x22 #(1<<aw, aw-1, dw-1) vs_ssp(
240
`else
241 1063 lampret
`ifdef OR1200_BIST
242
vs_hdsp_64x22_bist vs_ssp(
243
`else
244 504 lampret
vs_hdsp_64x22 vs_ssp(
245
`endif
246 1063 lampret
`endif
247
`ifdef OR1200_BIST
248
        // RAM BIST
249
        .scanb_rst(scanb_rst),
250
        .scanb_si(scanb_si),
251
        .scanb_so(scanb_so),
252
        .scanb_en(scanb_en),
253
        .scanb_clk(scanb_clk),
254
`endif
255 504 lampret
        .CK(clk),
256
        .ADR(addr),
257
        .DI(di),
258
        .WEN(~we),
259
        .CEN(~ce),
260
        .OEN(~oe),
261
        .DOUT(do)
262
);
263
 
264
`else
265
 
266
`ifdef OR1200_XILINX_RAMB4
267
 
268
//
269
// Instantiation of FPGA memory:
270
//
271
// Virtex/Spartan2
272
//
273
 
274
//
275
// Block 0
276
//
277
RAMB4_S16 ramb4_s16_0(
278
        .CLK(clk),
279
        .RST(rst),
280
        .ADDR({2'b00, addr}),
281
        .DI(di[15:0]),
282
        .EN(ce),
283
        .WE(we),
284
        .DO(do[15:0])
285
);
286
 
287
//
288
// Block 1
289
//
290
RAMB4_S16 ramb4_s16_1(
291
        .CLK(clk),
292
        .RST(rst),
293
        .ADDR({2'b00, addr}),
294
        .DI({unconnected, di[21:16]}),
295
        .EN(ce),
296
        .WE(we),
297
        .DO({unconnected, do[21:16]})
298
);
299
 
300
`else
301
 
302 1129 lampret
`ifdef OR1200_ALTERA_LPM
303
 
304 504 lampret
//
305 1129 lampret
// Instantiation of FPGA memory:
306
//
307
// Altera LPM
308
//
309
// Added By Jamil Khatib
310
//
311
 
312
wire    wr;
313
 
314
assign  wr = ce & we;
315
 
316
initial $display("Using Altera LPM.");
317
 
318
lpm_ram_dq lpm_ram_dq_component (
319
        .address(addr),
320
        .inclock(clk),
321
        .outclock(clk),
322
        .data(di),
323
        .we(wr),
324
        .q(do)
325
);
326
 
327
defparam lpm_ram_dq_component.lpm_width = dw,
328
        lpm_ram_dq_component.lpm_widthad = aw,
329
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
330
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
331
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
332
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
333
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
334
 
335
`else
336
 
337
//
338 504 lampret
// Generic single-port synchronous RAM model
339
//
340
 
341
//
342
// Generic RAM's registers and wires
343
//
344
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
345
reg     [dw-1:0] do_reg;                 // RAM data output register
346
 
347
//
348
// Data output drivers
349
//
350 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
351 504 lampret
 
352
//
353
// RAM read and write
354
//
355
always @(posedge clk)
356
        if (ce && !we)
357
                do_reg <= #1 mem[addr];
358
        else if (ce && we)
359
                mem[addr] <= #1 di;
360
 
361 1129 lampret
`endif  // !OR1200_ALTERA_LPM
362 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
363
`endif  // !OR1200_VIRTUALSILICON_SSP
364
`endif  // !OR1200_VIRAGE_SSP
365
`endif  // !OR1200_AVANT_ATP
366
`endif  // !OR1200_ARTISAN_SSP
367
 
368
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.