OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_15/] [or1200/] [rtl/] [verilog/] [or1200_sprs.v] - Blame information for rev 610

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's interface to SPRs                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Decoding of SPR addresses and access to SPRs                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 610 lampret
// Revision 1.3  2002/01/19 09:27:49  lampret
48
// SR[TEE] should be zero after reset.
49
//
50 596 lampret
// Revision 1.2  2002/01/18 07:56:00  lampret
51
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
52
//
53 589 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56 504 lampret
// Revision 1.12  2001/11/23 21:42:31  simons
57
// Program counter divided to PPC and NPC.
58
//
59
// Revision 1.11  2001/11/23 08:38:51  lampret
60
// Changed DSR/DRR behavior and exception detection.
61
//
62
// Revision 1.10  2001/11/12 01:45:41  lampret
63
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
64
//
65
// Revision 1.9  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.8  2001/10/14 13:12:10  lampret
69
// MP3 version.
70
//
71
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
72
// no message
73
//
74
// Revision 1.3  2001/08/13 03:36:20  lampret
75
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
76
//
77
// Revision 1.2  2001/08/09 13:39:33  lampret
78
// Major clean-up.
79
//
80
// Revision 1.1  2001/07/20 00:46:21  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
module or1200_sprs(
91
                // Clk & Rst
92
                clk, rst,
93
 
94
                // Internal CPU interface
95
                flagforw, flag_we, flag, addrbase, addrofs, dat_i, alu_op, branch_op,
96
                epcr, eear, esr, except_start, except_started,
97
                to_wbmux, epcr_we, eear_we, esr_we, pc_we, sr,
98
                spr_dat_cfgr, spr_dat_rf, spr_dat_npc, spr_dat_ppc, spr_dat_mac,
99
 
100
                // From/to other RISC units
101
                spr_dat_pic, spr_dat_tt, spr_dat_pm,
102
                spr_dat_dmmu, spr_dat_immu, spr_dat_du,
103
                spr_addr, spr_dataout, spr_cs, spr_we,
104
 
105
                du_addr, du_dat_du, du_read,
106
                du_write
107
 
108
);
109
 
110
parameter width = `OR1200_OPERAND_WIDTH;
111
 
112
//
113
// I/O Ports
114
//
115
 
116
//
117
// Internal CPU interface
118
//
119
input                           clk;            // Clock
120
input                           rst;            // Reset
121
output                          flag;           // SR[F]
122
input                           flagforw;       // From ALU
123
input                           flag_we;        // From ALU
124
input   [width-1:0]              addrbase;       // SPR base address
125
input   [15:0]                   addrofs;        // SPR offset
126
input   [width-1:0]              dat_i;          // SPR write data
127
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;         // ALU operation
128
input   [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;      // Branch operation
129
input   [width-1:0]              epcr;           // EPCR0
130
input   [width-1:0]              eear;           // EEAR0
131
input   [`OR1200_SR_WIDTH-1:0]   esr;            // ESR0
132
input                           except_start;   // Start of exception
133
input                           except_started; // Exception was started
134
output  [width-1:0]              to_wbmux;       // For l.mfspr
135
output                          epcr_we;        // EPCR0 write enable
136
output                          eear_we;        // EEAR0 write enable
137
output                          esr_we;         // ESR0 write enable
138
output                          pc_we;          // PC write enable
139
output  [`OR1200_SR_WIDTH-1:0]           sr;             // SR
140
input   [31:0]                   spr_dat_cfgr;   // Data from CFGR
141
input   [31:0]                   spr_dat_rf;     // Data from RF
142
input   [31:0]                   spr_dat_npc;    // Data from NPC
143
input   [31:0]                   spr_dat_ppc;    // Data from PPC   
144
input   [31:0]                   spr_dat_mac;    // Data from MAC
145
 
146
//
147
// To/from other RISC units
148
//
149
input   [31:0]                   spr_dat_pic;    // Data from PIC
150
input   [31:0]                   spr_dat_tt;     // Data from TT
151
input   [31:0]                   spr_dat_pm;     // Data from PM
152
input   [31:0]                   spr_dat_dmmu;   // Data from DMMU
153
input   [31:0]                   spr_dat_immu;   // Data from IMMU
154
input   [31:0]                   spr_dat_du;     // Data from DU
155
output  [31:0]                   spr_addr;       // SPR Address
156
output  [31:0]                   spr_dataout;    // Data to unit
157
output  [31:0]                   spr_cs;         // Unit select
158
output                          spr_we;         // SPR write enable
159
 
160
//
161
// To/from Debug Unit
162
//
163
input   [width-1:0]              du_addr;        // Address
164
input   [width-1:0]              du_dat_du;      // Data from DU to SPRS
165
input                           du_read;        // Read qualifier
166
input                           du_write;       // Write qualifier
167
 
168
//
169
// Internal regs & wires
170
//
171
reg     [`OR1200_SR_WIDTH-1:0]           sr;             // SR
172
reg                             write_spr;      // Write SPR
173
reg                             read_spr;       // Read SPR
174
reg     [width-1:0]              to_wbmux;       // For l.mfspr
175
wire                            sr_we;          // Write enable SR
176
wire                            cfgr_sel;       // Select for cfg regs
177
wire                            rf_sel;         // Select for RF
178
wire                            npc_sel;        // Select for NPC
179
wire                            ppc_sel;        // Select for PPC
180
wire                            sr_sel;         // Select for SR        
181
wire                            epcr_sel;       // Select for EPCR0
182
wire                            eear_sel;       // Select for EEAR0
183
wire                            esr_sel;        // Select for ESR0
184
wire    [31:0]                   sys_data;       // Read data from system SPRs
185
wire    [`OR1200_SR_WIDTH-1:0]           to_sr;          // Data to SR
186
wire                            du_access;      // Debug unit access
187
wire    [`OR1200_ALUOP_WIDTH-1:0]        sprs_op;        // ALU operation
188
reg     [31:0]                   unqualified_cs; // Unqualified chip selects
189
 
190
//
191
// Decide if it is debug unit access
192
//
193
assign du_access = du_read | du_write;
194
 
195
//
196
// Generate sprs opcode
197
//
198
assign sprs_op = du_write ? `OR1200_ALUOP_MTSR : du_read ? `OR1200_ALUOP_MFSR : alu_op;
199
 
200
//
201
// Generate SPR address from base address and offset
202
// OR from debug unit address
203
//
204
assign spr_addr = du_access ? du_addr : addrbase + {16'h0000, addrofs};
205
 
206
//
207
// SPR is written with dat_i from l.mtspr
208
// OR by debug unit
209
//
210
assign spr_dataout = du_write ? du_dat_du : du_read ? to_wbmux : dat_i;
211
 
212
//
213
// Write into SPRs when l.mtspr
214
//
215
assign spr_we = du_write | write_spr;
216
 
217
//
218
// Qualify chip selects
219
//
220
assign spr_cs = unqualified_cs & {32{read_spr | write_spr}};
221
 
222
//
223
// Decoding of groups
224
//
225
always @(spr_addr)
226
        case (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
227
                `OR1200_SPR_GROUP_WIDTH'd00: unqualified_cs = 32'b00000000_00000000_00000000_00000001;
228
                `OR1200_SPR_GROUP_WIDTH'd01: unqualified_cs = 32'b00000000_00000000_00000000_00000010;
229
                `OR1200_SPR_GROUP_WIDTH'd02: unqualified_cs = 32'b00000000_00000000_00000000_00000100;
230
                `OR1200_SPR_GROUP_WIDTH'd03: unqualified_cs = 32'b00000000_00000000_00000000_00001000;
231
                `OR1200_SPR_GROUP_WIDTH'd04: unqualified_cs = 32'b00000000_00000000_00000000_00010000;
232
                `OR1200_SPR_GROUP_WIDTH'd05: unqualified_cs = 32'b00000000_00000000_00000000_00100000;
233
                `OR1200_SPR_GROUP_WIDTH'd06: unqualified_cs = 32'b00000000_00000000_00000000_01000000;
234
                `OR1200_SPR_GROUP_WIDTH'd07: unqualified_cs = 32'b00000000_00000000_00000000_10000000;
235
                `OR1200_SPR_GROUP_WIDTH'd08: unqualified_cs = 32'b00000000_00000000_00000001_00000000;
236
                `OR1200_SPR_GROUP_WIDTH'd09: unqualified_cs = 32'b00000000_00000000_00000010_00000000;
237
                `OR1200_SPR_GROUP_WIDTH'd10: unqualified_cs = 32'b00000000_00000000_00000100_00000000;
238
                `OR1200_SPR_GROUP_WIDTH'd11: unqualified_cs = 32'b00000000_00000000_00001000_00000000;
239
                `OR1200_SPR_GROUP_WIDTH'd12: unqualified_cs = 32'b00000000_00000000_00010000_00000000;
240
                `OR1200_SPR_GROUP_WIDTH'd13: unqualified_cs = 32'b00000000_00000000_00100000_00000000;
241
                `OR1200_SPR_GROUP_WIDTH'd14: unqualified_cs = 32'b00000000_00000000_01000000_00000000;
242
                `OR1200_SPR_GROUP_WIDTH'd15: unqualified_cs = 32'b00000000_00000000_10000000_00000000;
243
                `OR1200_SPR_GROUP_WIDTH'd16: unqualified_cs = 32'b00000000_00000001_00000000_00000000;
244
                `OR1200_SPR_GROUP_WIDTH'd17: unqualified_cs = 32'b00000000_00000010_00000000_00000000;
245
                `OR1200_SPR_GROUP_WIDTH'd18: unqualified_cs = 32'b00000000_00000100_00000000_00000000;
246
                `OR1200_SPR_GROUP_WIDTH'd19: unqualified_cs = 32'b00000000_00001000_00000000_00000000;
247
                `OR1200_SPR_GROUP_WIDTH'd20: unqualified_cs = 32'b00000000_00010000_00000000_00000000;
248
                `OR1200_SPR_GROUP_WIDTH'd21: unqualified_cs = 32'b00000000_00100000_00000000_00000000;
249
                `OR1200_SPR_GROUP_WIDTH'd22: unqualified_cs = 32'b00000000_01000000_00000000_00000000;
250
                `OR1200_SPR_GROUP_WIDTH'd23: unqualified_cs = 32'b00000000_10000000_00000000_00000000;
251
                `OR1200_SPR_GROUP_WIDTH'd24: unqualified_cs = 32'b00000001_00000000_00000000_00000000;
252
                `OR1200_SPR_GROUP_WIDTH'd25: unqualified_cs = 32'b00000010_00000000_00000000_00000000;
253
                `OR1200_SPR_GROUP_WIDTH'd26: unqualified_cs = 32'b00000100_00000000_00000000_00000000;
254
                `OR1200_SPR_GROUP_WIDTH'd27: unqualified_cs = 32'b00001000_00000000_00000000_00000000;
255
                `OR1200_SPR_GROUP_WIDTH'd28: unqualified_cs = 32'b00010000_00000000_00000000_00000000;
256
                `OR1200_SPR_GROUP_WIDTH'd29: unqualified_cs = 32'b00100000_00000000_00000000_00000000;
257
                `OR1200_SPR_GROUP_WIDTH'd30: unqualified_cs = 32'b01000000_00000000_00000000_00000000;
258
                `OR1200_SPR_GROUP_WIDTH'd31: unqualified_cs = 32'b10000000_00000000_00000000_00000000;
259
        endcase
260
 
261
//
262
// SPRs System Group
263
//
264
 
265
//
266
// What to write into SR
267
//
268 589 lampret
assign to_sr = (branch_op == `OR1200_BRANCHOP_RFE) ? esr : {1'b1, spr_dataout[`OR1200_SR_WIDTH-2:0]};
269 504 lampret
 
270
//
271
// Selects for system SPRs
272
//
273
assign cfgr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:4] == `OR1200_SPR_CFGR));
274
assign rf_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:5] == `OR1200_SPR_RF));
275
assign npc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_NPC));
276
assign ppc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_PPC));
277
assign sr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_SR));
278
assign epcr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EPCR));
279
assign eear_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EEAR));
280
assign esr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_ESR));
281
 
282
//
283
// Write enables for system SPRs
284
//
285
assign sr_we = (write_spr && sr_sel) | (branch_op == `OR1200_BRANCHOP_RFE);
286
assign pc_we = (write_spr && (npc_sel | ppc_sel));
287
assign epcr_we = (write_spr && epcr_sel);
288
assign eear_we = (write_spr && eear_sel);
289
assign esr_we = (write_spr && esr_sel);
290
 
291
//
292
// Output from system SPRs
293
//
294
assign sys_data = (spr_dat_cfgr & {32{read_spr & cfgr_sel}}) |
295
                  (spr_dat_rf & {32{read_spr & rf_sel}}) |
296
                  (spr_dat_npc & {32{read_spr & npc_sel}}) |
297
                  (spr_dat_ppc & {32{read_spr & ppc_sel}}) |
298
                  ({{32-`OR1200_SR_WIDTH{1'b0}},sr} & {32{read_spr & sr_sel}}) |
299
                  (epcr & {32{read_spr & epcr_sel}}) |
300
                  (eear & {32{read_spr & eear_sel}}) |
301
                  ({{32-`OR1200_SR_WIDTH{1'b0}},esr} & {32{read_spr & esr_sel}});
302
 
303
//
304
// Flag alias
305
//
306
assign flag = sr[`OR1200_SR_F];
307
 
308
//
309
// Supervision register
310
//
311
always @(posedge clk or posedge rst)
312
        if (rst)
313 610 lampret
                sr <= #1 {1'b1, {`OR1200_SR_WIDTH-2{1'b0}}, 1'b1};
314 504 lampret
        else if (except_started) begin
315 589 lampret
                sr[`OR1200_SR_SM] <= #1 1'b1;
316
                sr[`OR1200_SR_TEE] <= #1 1'b0;
317
                sr[`OR1200_SR_IEE] <= #1 1'b0;
318 504 lampret
                sr[`OR1200_SR_DME] <= #1 1'b0;
319
                sr[`OR1200_SR_IME] <= #1 1'b0;
320
        end
321 589 lampret
        else if (sr_we)
322
                sr <= #1 to_sr[`OR1200_SR_WIDTH-1:0];
323
        else if (flag_we)
324 504 lampret
                sr[`OR1200_SR_F] <= #1 flagforw;
325
 
326
//
327
// MTSPR/MFSPR interface
328
//
329
always @(sprs_op or spr_addr or spr_dataout or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
330
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
331
        case (sprs_op)  // synopsys full_case parallel_case
332
                `OR1200_ALUOP_MTSR : begin
333
`ifdef OR1200_VERBOSE
334
// synopsys translate_off
335
                        $display("%t: SPRS: mtspr (%h) <- %h", $time, spr_addr, spr_dataout);
336
// synopsys translate_on
337
`endif
338
                        write_spr = 1'b1;
339
                        read_spr = 1'b0;
340
                        to_wbmux = 32'b0;
341
                end
342
                `OR1200_ALUOP_MFSR : begin
343
                        casex (spr_addr[`OR1200_SPR_GROUP_BITS])
344
                                `OR1200_SPR_GROUP_TT:
345
                                        to_wbmux = spr_dat_tt;
346
                                `OR1200_SPR_GROUP_PIC:
347
                                        to_wbmux = spr_dat_pic;
348
                                `OR1200_SPR_GROUP_PM:
349
                                        to_wbmux = spr_dat_pm;
350
                                `OR1200_SPR_GROUP_DMMU:
351
                                        to_wbmux = spr_dat_dmmu;
352
                                `OR1200_SPR_GROUP_IMMU:
353
                                        to_wbmux = spr_dat_immu;
354
                                `OR1200_SPR_GROUP_MAC:
355
                                        to_wbmux = spr_dat_mac;
356
                                `OR1200_SPR_GROUP_DU:
357
                                        to_wbmux = spr_dat_du;
358
                                `OR1200_SPR_GROUP_SYS:
359
                                        to_wbmux = sys_data;
360
                                default:
361
                                        to_wbmux = 32'b0;
362
                        endcase
363
                        write_spr = 1'b0;
364
                        read_spr = 1'b1;
365
                end
366
                default : begin
367
                        write_spr = 1'b0;
368
                        read_spr = 1'b0;
369
                        to_wbmux = 32'b0;
370
                end
371
        endcase
372
end
373
 
374
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.