OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_15/] [or1200/] [rtl/] [verilog/] [or1200_sprs.v] - Blame information for rev 736

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's interface to SPRs                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Decoding of SPR addresses and access to SPRs                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 736 lampret
// Revision 1.5  2002/02/01 19:56:54  lampret
48
// Fixed combinational loops.
49
//
50 636 lampret
// Revision 1.4  2002/01/23 07:52:36  lampret
51
// Changed default reset values for SR and ESR to match or1ksim's. Fixed flop model in or1200_dpram_32x32 when OR1200_XILINX_RAM32X1D is defined.
52
//
53 610 lampret
// Revision 1.3  2002/01/19 09:27:49  lampret
54
// SR[TEE] should be zero after reset.
55
//
56 596 lampret
// Revision 1.2  2002/01/18 07:56:00  lampret
57
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
58
//
59 589 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
60
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
61
//
62 504 lampret
// Revision 1.12  2001/11/23 21:42:31  simons
63
// Program counter divided to PPC and NPC.
64
//
65
// Revision 1.11  2001/11/23 08:38:51  lampret
66
// Changed DSR/DRR behavior and exception detection.
67
//
68
// Revision 1.10  2001/11/12 01:45:41  lampret
69
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
70
//
71
// Revision 1.9  2001/10/21 17:57:16  lampret
72
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
73
//
74
// Revision 1.8  2001/10/14 13:12:10  lampret
75
// MP3 version.
76
//
77
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
78
// no message
79
//
80
// Revision 1.3  2001/08/13 03:36:20  lampret
81
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
82
//
83
// Revision 1.2  2001/08/09 13:39:33  lampret
84
// Major clean-up.
85
//
86
// Revision 1.1  2001/07/20 00:46:21  lampret
87
// Development version of RTL. Libraries are missing.
88
//
89
//
90
 
91
// synopsys translate_off
92
`include "timescale.v"
93
// synopsys translate_on
94
`include "or1200_defines.v"
95
 
96
module or1200_sprs(
97
                // Clk & Rst
98
                clk, rst,
99
 
100
                // Internal CPU interface
101
                flagforw, flag_we, flag, addrbase, addrofs, dat_i, alu_op, branch_op,
102
                epcr, eear, esr, except_start, except_started,
103
                to_wbmux, epcr_we, eear_we, esr_we, pc_we, sr,
104
                spr_dat_cfgr, spr_dat_rf, spr_dat_npc, spr_dat_ppc, spr_dat_mac,
105
 
106
                // From/to other RISC units
107
                spr_dat_pic, spr_dat_tt, spr_dat_pm,
108
                spr_dat_dmmu, spr_dat_immu, spr_dat_du,
109 636 lampret
                spr_addr, spr_dat_o, spr_cs, spr_we,
110 504 lampret
 
111
                du_addr, du_dat_du, du_read,
112 636 lampret
                du_write, du_dat_cpu
113 504 lampret
 
114
);
115
 
116
parameter width = `OR1200_OPERAND_WIDTH;
117
 
118
//
119
// I/O Ports
120
//
121
 
122
//
123
// Internal CPU interface
124
//
125
input                           clk;            // Clock
126
input                           rst;            // Reset
127
output                          flag;           // SR[F]
128
input                           flagforw;       // From ALU
129
input                           flag_we;        // From ALU
130
input   [width-1:0]              addrbase;       // SPR base address
131
input   [15:0]                   addrofs;        // SPR offset
132
input   [width-1:0]              dat_i;          // SPR write data
133
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;         // ALU operation
134
input   [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;      // Branch operation
135
input   [width-1:0]              epcr;           // EPCR0
136
input   [width-1:0]              eear;           // EEAR0
137
input   [`OR1200_SR_WIDTH-1:0]   esr;            // ESR0
138
input                           except_start;   // Start of exception
139
input                           except_started; // Exception was started
140
output  [width-1:0]              to_wbmux;       // For l.mfspr
141
output                          epcr_we;        // EPCR0 write enable
142
output                          eear_we;        // EEAR0 write enable
143
output                          esr_we;         // ESR0 write enable
144
output                          pc_we;          // PC write enable
145
output  [`OR1200_SR_WIDTH-1:0]           sr;             // SR
146
input   [31:0]                   spr_dat_cfgr;   // Data from CFGR
147
input   [31:0]                   spr_dat_rf;     // Data from RF
148
input   [31:0]                   spr_dat_npc;    // Data from NPC
149
input   [31:0]                   spr_dat_ppc;    // Data from PPC   
150
input   [31:0]                   spr_dat_mac;    // Data from MAC
151
 
152
//
153
// To/from other RISC units
154
//
155
input   [31:0]                   spr_dat_pic;    // Data from PIC
156
input   [31:0]                   spr_dat_tt;     // Data from TT
157
input   [31:0]                   spr_dat_pm;     // Data from PM
158
input   [31:0]                   spr_dat_dmmu;   // Data from DMMU
159
input   [31:0]                   spr_dat_immu;   // Data from IMMU
160
input   [31:0]                   spr_dat_du;     // Data from DU
161
output  [31:0]                   spr_addr;       // SPR Address
162 636 lampret
output  [31:0]                   spr_dat_o;      // Data to unit
163 504 lampret
output  [31:0]                   spr_cs;         // Unit select
164
output                          spr_we;         // SPR write enable
165
 
166
//
167
// To/from Debug Unit
168
//
169
input   [width-1:0]              du_addr;        // Address
170
input   [width-1:0]              du_dat_du;      // Data from DU to SPRS
171
input                           du_read;        // Read qualifier
172
input                           du_write;       // Write qualifier
173 636 lampret
output  [width-1:0]              du_dat_cpu;     // Data from SPRS to DU
174 504 lampret
 
175
//
176
// Internal regs & wires
177
//
178
reg     [`OR1200_SR_WIDTH-1:0]           sr;             // SR
179
reg                             write_spr;      // Write SPR
180
reg                             read_spr;       // Read SPR
181
reg     [width-1:0]              to_wbmux;       // For l.mfspr
182
wire                            sr_we;          // Write enable SR
183
wire                            cfgr_sel;       // Select for cfg regs
184
wire                            rf_sel;         // Select for RF
185
wire                            npc_sel;        // Select for NPC
186
wire                            ppc_sel;        // Select for PPC
187
wire                            sr_sel;         // Select for SR        
188
wire                            epcr_sel;       // Select for EPCR0
189
wire                            eear_sel;       // Select for EEAR0
190
wire                            esr_sel;        // Select for ESR0
191
wire    [31:0]                   sys_data;       // Read data from system SPRs
192
wire    [`OR1200_SR_WIDTH-1:0]           to_sr;          // Data to SR
193
wire                            du_access;      // Debug unit access
194
wire    [`OR1200_ALUOP_WIDTH-1:0]        sprs_op;        // ALU operation
195
reg     [31:0]                   unqualified_cs; // Unqualified chip selects
196
 
197
//
198
// Decide if it is debug unit access
199
//
200
assign du_access = du_read | du_write;
201
 
202
//
203
// Generate sprs opcode
204
//
205
assign sprs_op = du_write ? `OR1200_ALUOP_MTSR : du_read ? `OR1200_ALUOP_MFSR : alu_op;
206
 
207
//
208
// Generate SPR address from base address and offset
209
// OR from debug unit address
210
//
211 736 lampret
assign spr_addr = du_access ? du_addr : addrbase | {16'h0000, addrofs};
212 504 lampret
 
213
//
214 636 lampret
// SPR is written by debug unit or by l.mtspr
215 504 lampret
//
216 636 lampret
assign spr_dat_o = du_write ? du_dat_du : dat_i;
217 504 lampret
 
218
//
219 636 lampret
// debug unit data input:
220
//  - write into debug unit SPRs by debug unit itself
221
//  - read of SPRS by debug unit
222
//  - write into debug unit SPRs by l.mtspr
223
//
224
assign du_dat_cpu = du_write ? du_dat_du : du_read ? to_wbmux : dat_i;
225
 
226
//
227 504 lampret
// Write into SPRs when l.mtspr
228
//
229
assign spr_we = du_write | write_spr;
230
 
231
//
232
// Qualify chip selects
233
//
234
assign spr_cs = unqualified_cs & {32{read_spr | write_spr}};
235
 
236
//
237
// Decoding of groups
238
//
239
always @(spr_addr)
240
        case (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
241
                `OR1200_SPR_GROUP_WIDTH'd00: unqualified_cs = 32'b00000000_00000000_00000000_00000001;
242
                `OR1200_SPR_GROUP_WIDTH'd01: unqualified_cs = 32'b00000000_00000000_00000000_00000010;
243
                `OR1200_SPR_GROUP_WIDTH'd02: unqualified_cs = 32'b00000000_00000000_00000000_00000100;
244
                `OR1200_SPR_GROUP_WIDTH'd03: unqualified_cs = 32'b00000000_00000000_00000000_00001000;
245
                `OR1200_SPR_GROUP_WIDTH'd04: unqualified_cs = 32'b00000000_00000000_00000000_00010000;
246
                `OR1200_SPR_GROUP_WIDTH'd05: unqualified_cs = 32'b00000000_00000000_00000000_00100000;
247
                `OR1200_SPR_GROUP_WIDTH'd06: unqualified_cs = 32'b00000000_00000000_00000000_01000000;
248
                `OR1200_SPR_GROUP_WIDTH'd07: unqualified_cs = 32'b00000000_00000000_00000000_10000000;
249
                `OR1200_SPR_GROUP_WIDTH'd08: unqualified_cs = 32'b00000000_00000000_00000001_00000000;
250
                `OR1200_SPR_GROUP_WIDTH'd09: unqualified_cs = 32'b00000000_00000000_00000010_00000000;
251
                `OR1200_SPR_GROUP_WIDTH'd10: unqualified_cs = 32'b00000000_00000000_00000100_00000000;
252
                `OR1200_SPR_GROUP_WIDTH'd11: unqualified_cs = 32'b00000000_00000000_00001000_00000000;
253
                `OR1200_SPR_GROUP_WIDTH'd12: unqualified_cs = 32'b00000000_00000000_00010000_00000000;
254
                `OR1200_SPR_GROUP_WIDTH'd13: unqualified_cs = 32'b00000000_00000000_00100000_00000000;
255
                `OR1200_SPR_GROUP_WIDTH'd14: unqualified_cs = 32'b00000000_00000000_01000000_00000000;
256
                `OR1200_SPR_GROUP_WIDTH'd15: unqualified_cs = 32'b00000000_00000000_10000000_00000000;
257
                `OR1200_SPR_GROUP_WIDTH'd16: unqualified_cs = 32'b00000000_00000001_00000000_00000000;
258
                `OR1200_SPR_GROUP_WIDTH'd17: unqualified_cs = 32'b00000000_00000010_00000000_00000000;
259
                `OR1200_SPR_GROUP_WIDTH'd18: unqualified_cs = 32'b00000000_00000100_00000000_00000000;
260
                `OR1200_SPR_GROUP_WIDTH'd19: unqualified_cs = 32'b00000000_00001000_00000000_00000000;
261
                `OR1200_SPR_GROUP_WIDTH'd20: unqualified_cs = 32'b00000000_00010000_00000000_00000000;
262
                `OR1200_SPR_GROUP_WIDTH'd21: unqualified_cs = 32'b00000000_00100000_00000000_00000000;
263
                `OR1200_SPR_GROUP_WIDTH'd22: unqualified_cs = 32'b00000000_01000000_00000000_00000000;
264
                `OR1200_SPR_GROUP_WIDTH'd23: unqualified_cs = 32'b00000000_10000000_00000000_00000000;
265
                `OR1200_SPR_GROUP_WIDTH'd24: unqualified_cs = 32'b00000001_00000000_00000000_00000000;
266
                `OR1200_SPR_GROUP_WIDTH'd25: unqualified_cs = 32'b00000010_00000000_00000000_00000000;
267
                `OR1200_SPR_GROUP_WIDTH'd26: unqualified_cs = 32'b00000100_00000000_00000000_00000000;
268
                `OR1200_SPR_GROUP_WIDTH'd27: unqualified_cs = 32'b00001000_00000000_00000000_00000000;
269
                `OR1200_SPR_GROUP_WIDTH'd28: unqualified_cs = 32'b00010000_00000000_00000000_00000000;
270
                `OR1200_SPR_GROUP_WIDTH'd29: unqualified_cs = 32'b00100000_00000000_00000000_00000000;
271
                `OR1200_SPR_GROUP_WIDTH'd30: unqualified_cs = 32'b01000000_00000000_00000000_00000000;
272
                `OR1200_SPR_GROUP_WIDTH'd31: unqualified_cs = 32'b10000000_00000000_00000000_00000000;
273
        endcase
274
 
275
//
276
// SPRs System Group
277
//
278
 
279
//
280
// What to write into SR
281
//
282 636 lampret
assign to_sr = (branch_op == `OR1200_BRANCHOP_RFE) ? esr : {1'b1, spr_dat_o[`OR1200_SR_WIDTH-2:0]};
283 504 lampret
 
284
//
285
// Selects for system SPRs
286
//
287
assign cfgr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:4] == `OR1200_SPR_CFGR));
288
assign rf_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:5] == `OR1200_SPR_RF));
289
assign npc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_NPC));
290
assign ppc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_PPC));
291
assign sr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_SR));
292
assign epcr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EPCR));
293
assign eear_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EEAR));
294
assign esr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_ESR));
295
 
296
//
297
// Write enables for system SPRs
298
//
299
assign sr_we = (write_spr && sr_sel) | (branch_op == `OR1200_BRANCHOP_RFE);
300
assign pc_we = (write_spr && (npc_sel | ppc_sel));
301
assign epcr_we = (write_spr && epcr_sel);
302
assign eear_we = (write_spr && eear_sel);
303
assign esr_we = (write_spr && esr_sel);
304
 
305
//
306
// Output from system SPRs
307
//
308
assign sys_data = (spr_dat_cfgr & {32{read_spr & cfgr_sel}}) |
309
                  (spr_dat_rf & {32{read_spr & rf_sel}}) |
310
                  (spr_dat_npc & {32{read_spr & npc_sel}}) |
311
                  (spr_dat_ppc & {32{read_spr & ppc_sel}}) |
312
                  ({{32-`OR1200_SR_WIDTH{1'b0}},sr} & {32{read_spr & sr_sel}}) |
313
                  (epcr & {32{read_spr & epcr_sel}}) |
314
                  (eear & {32{read_spr & eear_sel}}) |
315
                  ({{32-`OR1200_SR_WIDTH{1'b0}},esr} & {32{read_spr & esr_sel}});
316
 
317
//
318
// Flag alias
319
//
320
assign flag = sr[`OR1200_SR_F];
321
 
322
//
323
// Supervision register
324
//
325
always @(posedge clk or posedge rst)
326
        if (rst)
327 610 lampret
                sr <= #1 {1'b1, {`OR1200_SR_WIDTH-2{1'b0}}, 1'b1};
328 504 lampret
        else if (except_started) begin
329 589 lampret
                sr[`OR1200_SR_SM] <= #1 1'b1;
330
                sr[`OR1200_SR_TEE] <= #1 1'b0;
331
                sr[`OR1200_SR_IEE] <= #1 1'b0;
332 504 lampret
                sr[`OR1200_SR_DME] <= #1 1'b0;
333
                sr[`OR1200_SR_IME] <= #1 1'b0;
334
        end
335 589 lampret
        else if (sr_we)
336
                sr <= #1 to_sr[`OR1200_SR_WIDTH-1:0];
337
        else if (flag_we)
338 504 lampret
                sr[`OR1200_SR_F] <= #1 flagforw;
339
 
340
//
341
// MTSPR/MFSPR interface
342
//
343 636 lampret
always @(sprs_op or spr_addr or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
344 504 lampret
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
345
        case (sprs_op)  // synopsys full_case parallel_case
346
                `OR1200_ALUOP_MTSR : begin
347
                        write_spr = 1'b1;
348
                        read_spr = 1'b0;
349
                        to_wbmux = 32'b0;
350
                end
351
                `OR1200_ALUOP_MFSR : begin
352
                        casex (spr_addr[`OR1200_SPR_GROUP_BITS])
353
                                `OR1200_SPR_GROUP_TT:
354
                                        to_wbmux = spr_dat_tt;
355
                                `OR1200_SPR_GROUP_PIC:
356
                                        to_wbmux = spr_dat_pic;
357
                                `OR1200_SPR_GROUP_PM:
358
                                        to_wbmux = spr_dat_pm;
359
                                `OR1200_SPR_GROUP_DMMU:
360
                                        to_wbmux = spr_dat_dmmu;
361
                                `OR1200_SPR_GROUP_IMMU:
362
                                        to_wbmux = spr_dat_immu;
363
                                `OR1200_SPR_GROUP_MAC:
364
                                        to_wbmux = spr_dat_mac;
365
                                `OR1200_SPR_GROUP_DU:
366
                                        to_wbmux = spr_dat_du;
367
                                `OR1200_SPR_GROUP_SYS:
368
                                        to_wbmux = sys_data;
369
                                default:
370
                                        to_wbmux = 32'b0;
371
                        endcase
372
                        write_spr = 1'b0;
373
                        read_spr = 1'b1;
374
                end
375
                default : begin
376
                        write_spr = 1'b0;
377
                        read_spr = 1'b0;
378
                        to_wbmux = 32'b0;
379
                end
380
        endcase
381
end
382
 
383
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.