OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_16/] [or1200/] [rtl/] [verilog/] [or1200_dmmu_top.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data MMU top level                                 ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all DMMU blocks.                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 1171 lampret
// Revision 1.7  2002/10/17 20:04:40  lampret
48
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
49
//
50 1063 lampret
// Revision 1.6  2002/03/29 15:16:55  lampret
51
// Some of the warnings fixed.
52
//
53 788 lampret
// Revision 1.5  2002/02/14 15:34:02  simons
54
// Lapsus fixed.
55
//
56 668 simons
// Revision 1.4  2002/02/11 04:33:17  lampret
57
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
58
//
59 660 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
60
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
61
//
62 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
63
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
64
//
65 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.6  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.5  2001/10/14 13:12:09  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
75
// no message
76
//
77
// Revision 1.1  2001/08/17 08:03:35  lampret
78
// *** empty log message ***
79
//
80
// Revision 1.2  2001/07/22 03:31:53  lampret
81
// Fixed RAM's oen bug. Cache bypass under development.
82
//
83
// Revision 1.1  2001/07/20 00:46:03  lampret
84
// Development version of RTL. Libraries are missing.
85
//
86
//
87
 
88
// synopsys translate_off
89
`include "timescale.v"
90
// synopsys translate_on
91
`include "or1200_defines.v"
92
 
93
//
94
// Data MMU
95
//
96
 
97
module or1200_dmmu_top(
98
        // Rst and clk
99
        clk, rst,
100
 
101
        // CPU i/f
102 660 lampret
        dc_en, dmmu_en, supv, dcpu_adr_i, dcpu_cycstb_i, dcpu_we_i,
103 504 lampret
        dcpu_tag_o, dcpu_err_o,
104
 
105
        // SPR access
106
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
107
 
108 1063 lampret
`ifdef OR1200_BIST
109
        // RAM BIST
110
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
111
`endif
112
 
113 504 lampret
        // DC i/f
114 1171 lampret
        qmemdmmu_err_i, qmemdmmu_tag_i, qmemdmmu_adr_o, qmemdmmu_cycstb_o, qmemdmmu_ci_o
115 504 lampret
);
116
 
117
parameter dw = `OR1200_OPERAND_WIDTH;
118
parameter aw = `OR1200_OPERAND_WIDTH;
119
 
120
//
121
// I/O
122
//
123
 
124
//
125
// Clock and reset
126
//
127
input                           clk;
128
input                           rst;
129
 
130
//
131
// CPU I/F
132
//
133
input                           dc_en;
134
input                           dmmu_en;
135
input                           supv;
136
input   [aw-1:0]         dcpu_adr_i;
137 660 lampret
input                           dcpu_cycstb_i;
138 504 lampret
input                           dcpu_we_i;
139
output  [3:0]                    dcpu_tag_o;
140
output                          dcpu_err_o;
141
 
142
//
143
// SPR access
144
//
145
input                           spr_cs;
146
input                           spr_write;
147
input   [aw-1:0]         spr_addr;
148
input   [31:0]                   spr_dat_i;
149
output  [31:0]                   spr_dat_o;
150
 
151 1063 lampret
`ifdef OR1200_BIST
152 504 lampret
//
153 1063 lampret
// RAM BIST
154
//
155
input                           scanb_rst,
156
                                scanb_si,
157
                                scanb_en,
158
                                scanb_clk;
159
output                          scanb_so;
160
`endif
161
 
162
//
163 504 lampret
// DC I/F
164
//
165 1171 lampret
input                           qmemdmmu_err_i;
166
input   [3:0]                    qmemdmmu_tag_i;
167
output  [aw-1:0]         qmemdmmu_adr_o;
168
output                          qmemdmmu_cycstb_o;
169
output                          qmemdmmu_ci_o;
170 504 lampret
 
171
//
172
// Internal wires and regs
173
//
174
wire                            dtlb_spr_access;
175
wire    [31:`OR1200_DMMU_PS]    dtlb_ppn;
176
wire                            dtlb_hit;
177
wire                            dtlb_uwe;
178
wire                            dtlb_ure;
179
wire                            dtlb_swe;
180
wire                            dtlb_sre;
181
wire    [31:0]                   dtlb_dat_o;
182
wire                            dtlb_en;
183
wire                            dtlb_ci;
184
wire                            fault;
185
wire                            miss;
186 788 lampret
`ifdef OR1200_NO_DMMU
187
`else
188
reg                             dtlb_done;
189 660 lampret
reg     [31:`OR1200_DMMU_PS]    dcpu_vpn_r;
190 788 lampret
`endif
191 504 lampret
 
192
//
193
// Implemented bits inside match and translate registers
194
//
195
// dtlbwYmrX: vpn 31-10  v 0
196
// dtlbwYtrX: ppn 31-10  swe 9  sre 8  uwe 7  ure 6
197
//
198
// dtlb memory width:
199
// 19 bits for ppn
200
// 13 bits for vpn
201
// 1 bit for valid
202
// 4 bits for protection
203
// 1 bit for cache inhibit
204
 
205
`ifdef OR1200_NO_DMMU
206
 
207
//
208
// Put all outputs in inactive state
209
//
210
assign spr_dat_o = 32'h00000000;
211 1171 lampret
assign qmemdmmu_adr_o = dcpu_adr_i;
212
assign dcpu_tag_o = qmemdmmu_tag_i;
213
assign qmemdmmu_cycstb_o = dcpu_cycstb_i;
214
assign dcpu_err_o = qmemdmmu_err_i;
215
assign qmemdmmu_ci_o = `OR1200_DMMU_CI;
216 1063 lampret
`ifdef OR1200_BIST
217
assign scanb_so = scanb_si;
218
`endif
219 504 lampret
 
220
`else
221
 
222
//
223
// DTLB SPR access
224
//
225
// 0A00 - 0AFF  dtlbmr w0
226
// 0A00 - 0A3F  dtlbmr w0 [63:0]
227
//
228
// 0B00 - 0BFF  dtlbtr w0
229
// 0B00 - 0B3F  dtlbtr w0 [63:0]
230
//
231
assign dtlb_spr_access = spr_cs;
232
 
233
//
234
// Tags:
235
//
236
// OR1200_DTAG_TE - TLB miss Exception
237
// OR1200_DTAG_PE - Page fault Exception
238
//
239 1171 lampret
assign dcpu_tag_o = miss ? `OR1200_DTAG_TE : fault ? `OR1200_DTAG_PE : qmemdmmu_tag_i;
240 504 lampret
 
241
//
242
// dcpu_err_o
243
//
244 1171 lampret
assign dcpu_err_o = miss | fault | qmemdmmu_err_i;
245 504 lampret
 
246
//
247 617 lampret
// Assert dtlb_done one clock cycle after new address and dtlb_en must be active.
248 504 lampret
//
249 617 lampret
always @(posedge clk or posedge rst)
250 504 lampret
        if (rst)
251 617 lampret
                dtlb_done <= #1 1'b0;
252
        else if (dtlb_en)
253 660 lampret
                dtlb_done <= #1 dcpu_cycstb_i;
254 504 lampret
        else
255 617 lampret
                dtlb_done <= #1 1'b0;
256 504 lampret
 
257
//
258 660 lampret
// Cut transfer if something goes wrong with translation. Also delayed signals because of translation delay.
259 504 lampret
//
260 1171 lampret
assign qmemdmmu_cycstb_o = (!dc_en & dmmu_en) ? ~(miss | fault) & dtlb_done & dcpu_cycstb_i : ~(miss | fault) & dcpu_cycstb_i;
261
//assign qmemdmmu_cycstb_o = (dmmu_en) ? ~(miss | fault) & dcpu_cycstb_i : (miss | fault) ? 1'b0 : dcpu_cycstb_i;
262 504 lampret
 
263
//
264
// Cache Inhibit
265
//
266 1171 lampret
assign qmemdmmu_ci_o = dmmu_en ? dtlb_done & dtlb_ci : `OR1200_DMMU_CI;
267 504 lampret
 
268
//
269 660 lampret
// Register dcpu_adr_i's VPN for use when DMMU is not enabled but PPN is expected to come
270
// one clock cycle after offset part.
271
//
272
always @(posedge clk or posedge rst)
273
        if (rst)
274
                dcpu_vpn_r <= #1 {31-`OR1200_DMMU_PS{1'b0}};
275
        else
276
                dcpu_vpn_r <= #1 dcpu_adr_i[31:`OR1200_DMMU_PS];
277
 
278
//
279 504 lampret
// Physical address is either translated virtual address or
280
// simply equal when DMMU is disabled
281
//
282 1171 lampret
// assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : {dcpu_vpn_r, dcpu_adr_i[`OR1200_DMMU_PS-1:0]};
283
assign qmemdmmu_adr_o = dmmu_en ? {dtlb_ppn, dcpu_adr_i[`OR1200_DMMU_PS-1:0]} : dcpu_adr_i;
284 504 lampret
 
285
//
286
// Output to SPRS unit
287
//
288
assign spr_dat_o = dtlb_spr_access ? dtlb_dat_o : 32'h00000000;
289
 
290
//
291
// Page fault exception logic
292
//
293 617 lampret
assign fault = dtlb_done &
294 504 lampret
                        (  (!dcpu_we_i & !supv & !dtlb_ure) // Load in user mode not enabled
295
                        || (!dcpu_we_i & supv & !dtlb_sre) // Load in supv mode not enabled
296
                        || (dcpu_we_i & !supv & !dtlb_uwe) // Store in user mode not enabled
297
                        || (dcpu_we_i & supv & !dtlb_swe) ); // Store in supv mode not enabled
298
 
299
//
300
// TLB Miss exception logic
301
//
302 617 lampret
assign miss = dtlb_done & !dtlb_hit;
303 504 lampret
 
304
//
305
// DTLB Enable
306
//
307 660 lampret
assign dtlb_en = dmmu_en & dcpu_cycstb_i;
308 504 lampret
 
309
//
310
// Instantiation of DTLB
311
//
312
or1200_dmmu_tlb or1200_dmmu_tlb(
313
        // Rst and clk
314
        .clk(clk),
315
        .rst(rst),
316
 
317
        // I/F for translation
318
        .tlb_en(dtlb_en),
319
        .vaddr(dcpu_adr_i),
320
        .hit(dtlb_hit),
321
        .ppn(dtlb_ppn),
322
        .uwe(dtlb_uwe),
323
        .ure(dtlb_ure),
324
        .swe(dtlb_swe),
325
        .sre(dtlb_sre),
326
        .ci(dtlb_ci),
327
 
328 1063 lampret
`ifdef OR1200_BIST
329
        // RAM BIST
330
        .scanb_rst(scanb_rst),
331
        .scanb_si(scanb_si),
332
        .scanb_so(scanb_so),
333
        .scanb_en(scanb_en),
334
        .scanb_clk(scanb_clk),
335
`endif
336
 
337 504 lampret
        // SPR access
338
        .spr_cs(dtlb_spr_access),
339
        .spr_write(spr_write),
340
        .spr_addr(spr_addr),
341
        .spr_dat_i(spr_dat_i),
342
        .spr_dat_o(dtlb_dat_o)
343
);
344
 
345
`endif
346
 
347
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.