OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_16/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 1783

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 1171 lampret
// Revision 1.7  2002/10/17 20:04:40  lampret
48
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
49
//
50 1063 lampret
// Revision 1.6  2002/03/29 15:16:55  lampret
51
// Some of the warnings fixed.
52
//
53 788 lampret
// Revision 1.5  2002/02/11 04:33:17  lampret
54
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
55
//
56 660 lampret
// Revision 1.4  2002/02/01 19:56:54  lampret
57
// Fixed combinational loops.
58
//
59 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
60
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
61
//
62 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
63
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
64
//
65 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.9  2001/10/14 13:12:09  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
75
// no message
76
//
77
// Revision 1.4  2001/08/13 03:36:20  lampret
78
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
79
//
80
// Revision 1.3  2001/08/09 13:39:33  lampret
81
// Major clean-up.
82
//
83
// Revision 1.2  2001/07/22 03:31:53  lampret
84
// Fixed RAM's oen bug. Cache bypass under development.
85
//
86
// Revision 1.1  2001/07/20 00:46:03  lampret
87
// Development version of RTL. Libraries are missing.
88
//
89
//
90
 
91
// synopsys translate_off
92
`include "timescale.v"
93
// synopsys translate_on
94
`include "or1200_defines.v"
95
 
96
//
97
// Data cache
98
//
99
module or1200_ic_top(
100
        // Rst, clk and clock control
101
        clk, rst,
102
 
103
        // External i/f
104
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
105
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
106
 
107
        // Internal i/f
108
        ic_en,
109 1171 lampret
        icqmem_adr_i, icqmem_cycstb_i, icqmem_ci_i,
110
        icqmem_sel_i, icqmem_tag_i,
111
        icqmem_dat_o, icqmem_ack_o, icqmem_rty_o, icqmem_err_o, icqmem_tag_o,
112 504 lampret
 
113 1063 lampret
`ifdef OR1200_BIST
114
        // RAM BIST
115
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
116
`endif
117
 
118 504 lampret
        // SPRs
119
        spr_cs, spr_write, spr_dat_i
120
);
121
 
122
parameter dw = `OR1200_OPERAND_WIDTH;
123
 
124
//
125
// I/O
126
//
127
 
128
//
129
// Clock and reset
130
//
131
input                           clk;
132
input                           rst;
133
 
134
//
135
// External I/F
136
//
137
output  [dw-1:0]         icbiu_dat_o;
138
output  [31:0]                   icbiu_adr_o;
139
output                          icbiu_cyc_o;
140
output                          icbiu_stb_o;
141
output                          icbiu_we_o;
142
output  [3:0]                    icbiu_sel_o;
143
output                          icbiu_cab_o;
144
input   [dw-1:0]         icbiu_dat_i;
145
input                           icbiu_ack_i;
146
input                           icbiu_err_i;
147
 
148
//
149
// Internal I/F
150
//
151
input                           ic_en;
152 1171 lampret
input   [31:0]                   icqmem_adr_i;
153
input                           icqmem_cycstb_i;
154
input                           icqmem_ci_i;
155
input   [3:0]                    icqmem_sel_i;
156
input   [3:0]                    icqmem_tag_i;
157
output  [dw-1:0]         icqmem_dat_o;
158
output                          icqmem_ack_o;
159
output                          icqmem_rty_o;
160
output                          icqmem_err_o;
161
output  [3:0]                    icqmem_tag_o;
162 504 lampret
 
163 1063 lampret
`ifdef OR1200_BIST
164 504 lampret
//
165 1063 lampret
// RAM BIST
166
//
167
input                           scanb_rst,
168
                                scanb_si,
169
                                scanb_en,
170
                                scanb_clk;
171
output                          scanb_so;
172
`endif
173
 
174
//
175 504 lampret
// SPR access
176
//
177
input                           spr_cs;
178
input                           spr_write;
179
input   [31:0]                   spr_dat_i;
180
 
181
//
182
// Internal wires and regs
183
//
184
wire                            tag_v;
185
wire    [`OR1200_ICTAG_W-2:0]    tag;
186
wire    [dw-1:0]         to_icram;
187
wire    [dw-1:0]         from_icram;
188
wire    [31:0]                   saved_addr;
189
wire    [3:0]                    icram_we;
190
wire                            ictag_we;
191
wire    [31:0]                   ic_addr;
192
wire                            icfsm_biu_read;
193
reg                             tagcomp_miss;
194
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
195
wire                            ictag_en;
196
wire                            ictag_v;
197
wire                            ic_inv;
198
wire                            icfsm_first_hit_ack;
199
wire                            icfsm_first_miss_ack;
200
wire                            icfsm_first_miss_err;
201
wire                            icfsm_burst;
202 660 lampret
wire                            icfsm_tag_we;
203 1063 lampret
`ifdef OR1200_BIST
204
//
205
// RAM BIST
206
//
207
wire                            scanb_ram_so;
208
wire                            scanb_tag_so;
209
wire                            scanb_ram_si = scanb_si;
210
wire                            scanb_tag_si = scanb_ram_so;
211
assign                          scanb_so = scanb_tag_so;
212
`endif
213 504 lampret
 
214
//
215
// Simple assignments
216
//
217
assign icbiu_adr_o = ic_addr;
218
assign ic_inv = spr_cs & spr_write;
219 660 lampret
assign ictag_we = icfsm_tag_we | ic_inv;
220 504 lampret
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
221
assign ictag_en = ic_inv | ic_en;
222
assign ictag_v = ~ic_inv;
223
 
224
//
225
// Data to BIU is from ICRAM when IC is enabled or from LSU when
226
// IC is disabled
227
//
228
assign icbiu_dat_o = 32'h00000000;
229
 
230
//
231
// Bypases of the IC when IC is disabled
232
//
233 1171 lampret
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
234
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icqmem_cycstb_i;
235 504 lampret
assign icbiu_we_o = 1'b0;
236 1171 lampret
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icqmem_sel_i;
237 504 lampret
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
238 1171 lampret
assign icqmem_rty_o = ~icqmem_ack_o & ~icqmem_err_o;
239
assign icqmem_tag_o = icqmem_err_o ? `OR1200_ITAG_BE : icqmem_tag_i;
240 504 lampret
 
241
//
242
// CPU normal and error termination
243
//
244 1171 lampret
assign icqmem_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
245
assign icqmem_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
246 504 lampret
 
247
//
248
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
249
//
250 1171 lampret
assign ic_addr = (icfsm_biu_read) ? saved_addr : icqmem_adr_i;
251 504 lampret
 
252
//
253
// Select between input data generated by LSU or by BIU
254
//
255
assign to_icram = icbiu_dat_i;
256
 
257
//
258
// Select between data generated by ICRAM or passed by BIU
259
//
260 1171 lampret
assign icqmem_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
261 504 lampret
 
262
//
263
// Tag comparison
264
//
265
always @(tag or saved_addr or tag_v) begin
266
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
267
                tagcomp_miss = 1'b1;
268
        else
269
                tagcomp_miss = 1'b0;
270
end
271
 
272
//
273
// Instantiation of IC Finite State Machine
274
//
275
or1200_ic_fsm or1200_ic_fsm(
276
        .clk(clk),
277
        .rst(rst),
278
        .ic_en(ic_en),
279 1171 lampret
        .icqmem_cycstb_i(icqmem_cycstb_i),
280
        .icqmem_ci_i(icqmem_ci_i),
281 504 lampret
        .tagcomp_miss(tagcomp_miss),
282
        .biudata_valid(icbiu_ack_i),
283
        .biudata_error(icbiu_err_i),
284 1171 lampret
        .start_addr(icqmem_adr_i),
285 504 lampret
        .saved_addr(saved_addr),
286
        .icram_we(icram_we),
287
        .biu_read(icfsm_biu_read),
288
        .first_hit_ack(icfsm_first_hit_ack),
289
        .first_miss_ack(icfsm_first_miss_ack),
290
        .first_miss_err(icfsm_first_miss_err),
291 660 lampret
        .burst(icfsm_burst),
292
        .tag_we(icfsm_tag_we)
293 504 lampret
);
294
 
295
//
296
// Instantiation of IC main memory
297
//
298
or1200_ic_ram or1200_ic_ram(
299
        .clk(clk),
300
        .rst(rst),
301 1063 lampret
`ifdef OR1200_BIST
302
        // RAM BIST
303
        .scanb_rst(scanb_rst),
304
        .scanb_si(scanb_ram_si),
305
        .scanb_so(scanb_ram_so),
306
        .scanb_en(scanb_en),
307
        .scanb_clk(scanb_clk),
308
`endif
309 504 lampret
        .addr(ic_addr[`OR1200_ICINDXH:2]),
310
        .en(ic_en),
311
        .we(icram_we),
312
        .datain(to_icram),
313
        .dataout(from_icram)
314
);
315
 
316
//
317
// Instantiation of IC TAG memory
318
//
319
or1200_ic_tag or1200_ic_tag(
320
        .clk(clk),
321
        .rst(rst),
322 1063 lampret
`ifdef OR1200_BIST
323
        // RAM BIST
324
        .scanb_rst(scanb_rst),
325
        .scanb_si(scanb_tag_si),
326
        .scanb_so(scanb_tag_so),
327
        .scanb_en(scanb_en),
328
        .scanb_clk(scanb_clk),
329
`endif
330 504 lampret
        .addr(ictag_addr),
331
        .en(ictag_en),
332
        .we(ictag_we),
333
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
334
        .tag_v(tag_v),
335
        .tag(tag)
336
);
337
 
338
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.