OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_18/] [or1200/] [rtl/] [verilog/] [or1200_qmem_top.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1172 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Embedded Memory                                    ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Embedded Memory               .                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - QMEM and IC/DC muxes can be removed except for cycstb    ////
13
////     (now are is there for easier debugging)                  ////
14
////   - currently arbitration is slow and stores take 2 clocks   ////
15
////     (final debugged version will be faster)                  ////
16
////                                                              ////
17
////  Author(s):                                                  ////
18
////      - Damjan Lampret, lampret@opencores.org                 ////
19
////                                                              ////
20
//////////////////////////////////////////////////////////////////////
21
////                                                              ////
22
//// Copyright (C) 2003 Authors and OPENCORES.ORG                 ////
23
////                                                              ////
24
//// This source file may be used and distributed without         ////
25
//// restriction provided that this copyright statement is not    ////
26
//// removed from the file and that any derivative work contains  ////
27
//// the original copyright notice and the associated disclaimer. ////
28
////                                                              ////
29
//// This source file is free software; you can redistribute it   ////
30
//// and/or modify it under the terms of the GNU Lesser General   ////
31
//// Public License as published by the Free Software Foundation; ////
32
//// either version 2.1 of the License, or (at your option) any   ////
33
//// later version.                                               ////
34
////                                                              ////
35
//// This source is distributed in the hope that it will be       ////
36
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
37
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
38
//// PURPOSE.  See the GNU Lesser General Public License for more ////
39
//// details.                                                     ////
40
////                                                              ////
41
//// You should have received a copy of the GNU Lesser General    ////
42
//// Public License along with this source; if not, download it   ////
43
//// from http://www.opencores.org/lgpl.shtml                     ////
44
////                                                              ////
45
//////////////////////////////////////////////////////////////////////
46
//
47
// CVS Revision History
48
//
49
// $Log: not supported by cvs2svn $
50 1214 simons
// Revision 1.1.2.1  2003/07/08 15:45:26  lampret
51
// Added embedded memory QMEM.
52 1172 lampret
//
53 1214 simons
//
54 1172 lampret
 
55
// synopsys translate_off
56
`include "timescale.v"
57
// synopsys translate_on
58
`include "or1200_defines.v"
59
 
60
`define OR1200_QMEMFSM_IDLE     3'd0
61
`define OR1200_QMEMFSM_STORE    3'd1
62
`define OR1200_QMEMFSM_LOAD     3'd2
63
`define OR1200_QMEMFSM_FETCH    3'd3
64
 
65
//
66
// Embedded memory
67
//
68
module or1200_qmem_top(
69
        // Rst, clk and clock control
70
        clk, rst,
71
 
72
`ifdef OR1200_BIST
73
        // RAM BIST
74 1214 simons
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
75 1172 lampret
`endif
76
 
77
        // QMEM and CPU/IMMU
78
        qmemimmu_adr_i,
79
        qmemimmu_cycstb_i,
80
        qmemimmu_ci_i,
81
        qmemicpu_sel_i,
82
        qmemicpu_tag_i,
83
        qmemicpu_dat_o,
84
        qmemicpu_ack_o,
85
        qmemimmu_rty_o,
86
        qmemimmu_err_o,
87
        qmemimmu_tag_o,
88
 
89
        // QMEM and IC
90
        icqmem_adr_o,
91
        icqmem_cycstb_o,
92
        icqmem_ci_o,
93
        icqmem_sel_o,
94
        icqmem_tag_o,
95
        icqmem_dat_i,
96
        icqmem_ack_i,
97
        icqmem_rty_i,
98
        icqmem_err_i,
99
        icqmem_tag_i,
100
 
101
        // QMEM and CPU/DMMU
102
        qmemdmmu_adr_i,
103
        qmemdmmu_cycstb_i,
104
        qmemdmmu_ci_i,
105
        qmemdcpu_we_i,
106
        qmemdcpu_sel_i,
107
        qmemdcpu_tag_i,
108
        qmemdcpu_dat_i,
109
        qmemdcpu_dat_o,
110
        qmemdcpu_ack_o,
111
        qmemdcpu_rty_o,
112
        qmemdmmu_err_o,
113
        qmemdmmu_tag_o,
114
 
115
        // QMEM and DC
116
        dcqmem_adr_o, dcqmem_cycstb_o, dcqmem_ci_o,
117
        dcqmem_we_o, dcqmem_sel_o, dcqmem_tag_o, dcqmem_dat_o,
118
        dcqmem_dat_i, dcqmem_ack_i, dcqmem_rty_i, dcqmem_err_i, dcqmem_tag_i
119
 
120
);
121
 
122
parameter dw = `OR1200_OPERAND_WIDTH;
123
 
124
//
125
// I/O
126
//
127
 
128
//
129
// Clock and reset
130
//
131
input                           clk;
132
input                           rst;
133
 
134
`ifdef OR1200_BIST
135
//
136
// RAM BIST
137
//
138 1214 simons
input mbist_si_i;
139
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
140
output mbist_so_o;
141 1172 lampret
`endif
142
 
143
//
144
// QMEM and CPU/IMMU
145
//
146
input   [31:0]                   qmemimmu_adr_i;
147
input                           qmemimmu_cycstb_i;
148
input                           qmemimmu_ci_i;
149
input   [3:0]                    qmemicpu_sel_i;
150
input   [3:0]                    qmemicpu_tag_i;
151
output  [31:0]                   qmemicpu_dat_o;
152
output                          qmemicpu_ack_o;
153
output                          qmemimmu_rty_o;
154
output                          qmemimmu_err_o;
155
output  [3:0]                    qmemimmu_tag_o;
156
 
157
//
158
// QMEM and IC
159
//
160
output  [31:0]                   icqmem_adr_o;
161
output                          icqmem_cycstb_o;
162
output                          icqmem_ci_o;
163
output  [3:0]                    icqmem_sel_o;
164
output  [3:0]                    icqmem_tag_o;
165
input   [31:0]                   icqmem_dat_i;
166
input                           icqmem_ack_i;
167
input                           icqmem_rty_i;
168
input                           icqmem_err_i;
169
input   [3:0]                    icqmem_tag_i;
170
 
171
//
172
// QMEM and CPU/DMMU
173
//
174
input   [31:0]                   qmemdmmu_adr_i;
175
input                           qmemdmmu_cycstb_i;
176
input                           qmemdmmu_ci_i;
177
input                           qmemdcpu_we_i;
178
input   [3:0]                    qmemdcpu_sel_i;
179
input   [3:0]                    qmemdcpu_tag_i;
180
input   [31:0]                   qmemdcpu_dat_i;
181
output  [31:0]                   qmemdcpu_dat_o;
182
output                          qmemdcpu_ack_o;
183
output                          qmemdcpu_rty_o;
184
output                          qmemdmmu_err_o;
185
output  [3:0]                    qmemdmmu_tag_o;
186
 
187
//
188
// QMEM and DC
189
//
190
output  [31:0]                   dcqmem_adr_o;
191
output                          dcqmem_cycstb_o;
192
output                          dcqmem_ci_o;
193
output                          dcqmem_we_o;
194
output  [3:0]                    dcqmem_sel_o;
195
output  [3:0]                    dcqmem_tag_o;
196
output  [dw-1:0]         dcqmem_dat_o;
197
input   [dw-1:0]         dcqmem_dat_i;
198
input                           dcqmem_ack_i;
199
input                           dcqmem_rty_i;
200
input                           dcqmem_err_i;
201
input   [3:0]                    dcqmem_tag_i;
202
 
203
`ifdef OR1200_QMEM_IMPLEMENTED
204
 
205
//
206
// Internal regs and wires
207
//
208
wire                            iaddr_qmem_hit;
209
wire                            daddr_qmem_hit;
210
reg     [2:0]                    state;
211
reg                             qmem_dack;
212
reg                             qmem_iack;
213
wire    [31:0]                   qmem_di;
214
wire    [31:0]                   qmem_do;
215
wire                            qmem_en;
216
wire                            qmem_we;
217
wire    [31:0]                   qmem_addr;
218
 
219
//
220
// QMEM and CPU/IMMU
221
//
222
assign qmemicpu_dat_o = qmem_iack ? qmem_do : icqmem_dat_i;
223
assign qmemicpu_ack_o = qmem_iack ? 1'b1 : icqmem_ack_i;
224
assign qmemimmu_rty_o = qmem_iack ? 1'b0 : icqmem_rty_i;
225
assign qmemimmu_err_o = qmem_iack ? 1'b0 : icqmem_err_i;
226
assign qmemimmu_tag_o = qmem_iack ? 4'h0 : icqmem_tag_i;
227
 
228
//
229
// QMEM and IC
230
//
231
assign icqmem_adr_o = iaddr_qmem_hit ? 32'h0000_0000 : qmemimmu_adr_i;
232
assign icqmem_cycstb_o = iaddr_qmem_hit ? 1'b0 : qmemimmu_cycstb_i;
233
assign icqmem_ci_o = iaddr_qmem_hit ? 1'b0 : qmemimmu_ci_i;
234
assign icqmem_sel_o = iaddr_qmem_hit ? 4'h0 : qmemicpu_sel_i;
235
assign icqmem_tag_o = iaddr_qmem_hit ? 4'h0 : qmemicpu_tag_i;
236
 
237
//
238
// QMEM and CPU/DMMU
239
//
240
assign qmemdcpu_dat_o = daddr_qmem_hit ? qmem_do : dcqmem_dat_i;
241
assign qmemdcpu_ack_o = daddr_qmem_hit ? qmem_dack : dcqmem_ack_i;
242
assign qmemdcpu_rty_o = daddr_qmem_hit ? 1'b0 : dcqmem_rty_i;
243
assign qmemdmmu_err_o = daddr_qmem_hit ? 1'b0 : dcqmem_err_i;
244
assign qmemdmmu_tag_o = daddr_qmem_hit ? 4'h0 : dcqmem_tag_i;
245
 
246
//
247
// QMEM and DC
248
//
249
assign dcqmem_adr_o = daddr_qmem_hit ? 32'h0000_0000 : qmemdmmu_adr_i;
250
assign dcqmem_cycstb_o = daddr_qmem_hit ? 1'b0 : qmemdmmu_cycstb_i;
251
assign dcqmem_ci_o = daddr_qmem_hit ? 1'b0 : qmemdmmu_ci_i;
252
assign dcqmem_we_o = daddr_qmem_hit ? 1'b0 : qmemdcpu_we_i;
253
assign dcqmem_sel_o = daddr_qmem_hit ? 4'h0 : qmemdcpu_sel_i;
254
assign dcqmem_tag_o = daddr_qmem_hit ? 4'h0 : qmemdcpu_tag_i;
255
assign dcqmem_dat_o = daddr_qmem_hit ? 32'h0000_0000 : qmemdcpu_dat_i;
256
 
257
//
258
// Address comparison whether QMEM was hit
259
//
260
assign iaddr_qmem_hit = (qmemimmu_adr_i & `OR1200_QMEM_MASK) == `OR1200_QMEM_ADDR;
261
assign daddr_qmem_hit = (qmemdmmu_adr_i & `OR1200_QMEM_MASK) == `OR1200_QMEM_ADDR;
262
 
263
//
264
//
265
//
266
assign qmem_en = iaddr_qmem_hit & qmemimmu_cycstb_i | daddr_qmem_hit & qmemdmmu_cycstb_i;
267
assign qmem_we = qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i;
268
assign qmem_di = qmemdcpu_dat_i;
269
assign qmem_addr = (qmemdmmu_cycstb_i & daddr_qmem_hit) ? qmemdmmu_adr_i : qmemimmu_adr_i;
270
 
271
//
272
// QMEM control FSM
273
//
274
always @(posedge rst or posedge clk)
275
        if (rst) begin
276
                state <= #1 `OR1200_QMEMFSM_IDLE;
277
                qmem_dack <= #1 1'b0;
278
                qmem_iack <= #1 1'b0;
279
        end
280
        else case (state)       // synopsys parallel_case
281
                `OR1200_QMEMFSM_IDLE: begin
282
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i) begin
283
                                state <= #1 `OR1200_QMEMFSM_STORE;
284
                                qmem_dack <= #1 1'b1;
285
                                qmem_iack <= #1 1'b0;
286
                        end
287
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit) begin
288
                                state <= #1 `OR1200_QMEMFSM_LOAD;
289
                                qmem_dack <= #1 1'b1;
290
                                qmem_iack <= #1 1'b0;
291
                        end
292
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit) begin
293
                                state <= #1 `OR1200_QMEMFSM_FETCH;
294
                                qmem_iack <= #1 1'b1;
295
                                qmem_dack <= #1 1'b0;
296
                        end
297
                end
298
                `OR1200_QMEMFSM_STORE: begin
299
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i) begin
300
                                state <= #1 `OR1200_QMEMFSM_STORE;
301
                                qmem_dack <= #1 1'b1;
302
                                qmem_iack <= #1 1'b0;
303
                        end
304
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit) begin
305
                                state <= #1 `OR1200_QMEMFSM_LOAD;
306
                                qmem_dack <= #1 1'b1;
307
                                qmem_iack <= #1 1'b0;
308
                        end
309
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit) begin
310
                                state <= #1 `OR1200_QMEMFSM_FETCH;
311
                                qmem_iack <= #1 1'b1;
312
                                qmem_dack <= #1 1'b0;
313
                        end
314
                        else begin
315
                                state <= #1 `OR1200_QMEMFSM_IDLE;
316
                                qmem_dack <= #1 1'b0;
317
                                qmem_iack <= #1 1'b0;
318
                        end
319
                end
320
                `OR1200_QMEMFSM_LOAD: begin
321
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i) begin
322
                                state <= #1 `OR1200_QMEMFSM_STORE;
323
                                qmem_dack <= #1 1'b1;
324
                                qmem_iack <= #1 1'b0;
325
                        end
326
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit) begin
327
                                state <= #1 `OR1200_QMEMFSM_LOAD;
328
                                qmem_dack <= #1 1'b1;
329
                                qmem_iack <= #1 1'b0;
330
                        end
331
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit) begin
332
                                state <= #1 `OR1200_QMEMFSM_FETCH;
333
                                qmem_iack <= #1 1'b1;
334
                                qmem_dack <= #1 1'b0;
335
                        end
336
                        else begin
337
                                state <= #1 `OR1200_QMEMFSM_IDLE;
338
                                qmem_dack <= #1 1'b0;
339
                                qmem_iack <= #1 1'b0;
340
                        end
341
                end
342
                `OR1200_QMEMFSM_FETCH: begin
343
                        if (qmemdmmu_cycstb_i & daddr_qmem_hit & qmemdcpu_we_i) begin
344
                                state <= #1 `OR1200_QMEMFSM_STORE;
345
                                qmem_dack <= #1 1'b1;
346
                                qmem_iack <= #1 1'b0;
347
                        end
348
                        else if (qmemdmmu_cycstb_i & daddr_qmem_hit) begin
349
                                state <= #1 `OR1200_QMEMFSM_LOAD;
350
                                qmem_dack <= #1 1'b1;
351
                                qmem_iack <= #1 1'b0;
352
                        end
353
                        else if (qmemimmu_cycstb_i & iaddr_qmem_hit) begin
354
                                state <= #1 `OR1200_QMEMFSM_FETCH;
355
                                qmem_iack <= #1 1'b1;
356
                                qmem_dack <= #1 1'b0;
357
                        end
358
                        else begin
359
                                state <= #1 `OR1200_QMEMFSM_IDLE;
360
                                qmem_dack <= #1 1'b0;
361
                                qmem_iack <= #1 1'b0;
362
                        end
363
                end
364
        endcase
365
 
366
//
367
// Instantiation of embedded memory
368
//
369
or1200_spram_2048x32 or1200_qmem_ram(
370
        .clk(clk),
371
        .rst(rst),
372
`ifdef OR1200_BIST
373
        // RAM BIST
374 1214 simons
        .mbist_si_i(mbist_si_i),
375
        .mbist_so_o(mbist_so_o),
376
        .mbist_ctrl_i(mbist_ctrl_i),
377 1172 lampret
`endif
378
        .addr(qmem_addr[12:2]),
379
        .ce(qmem_en),
380
        .we(qmem_we),
381
        .oe(1'b1),
382
        .di(qmem_di),
383
        .do(qmem_do)
384
);
385
 
386
`else  // OR1200_QMEM_IMPLEMENTED
387
 
388
//
389
// QMEM and CPU/IMMU
390
//
391
assign qmemicpu_dat_o = icqmem_dat_i;
392
assign qmemicpu_ack_o = icqmem_ack_i;
393
assign qmemimmu_rty_o = icqmem_rty_i;
394
assign qmemimmu_err_o = icqmem_err_i;
395
assign qmemimmu_tag_o = icqmem_tag_i;
396
 
397
//
398
// QMEM and IC
399
//
400
assign icqmem_adr_o = qmemimmu_adr_i;
401
assign icqmem_cycstb_o = qmemimmu_cycstb_i;
402
assign icqmem_ci_o = qmemimmu_ci_i;
403
assign icqmem_sel_o = qmemicpu_sel_i;
404
assign icqmem_tag_o = qmemicpu_tag_i;
405
 
406
//
407
// QMEM and CPU/DMMU
408
//
409
assign qmemdcpu_dat_o = dcqmem_dat_i;
410
assign qmemdcpu_ack_o = dcqmem_ack_i;
411
assign qmemdcpu_rty_o = dcqmem_rty_i;
412
assign qmemdmmu_err_o = dcqmem_err_i;
413
assign qmemdmmu_tag_o = dcqmem_tag_i;
414
 
415
//
416
// QMEM and DC
417
//
418
assign dcqmem_adr_o = qmemdmmu_adr_i;
419
assign dcqmem_cycstb_o = qmemdmmu_cycstb_i;
420
assign dcqmem_ci_o = qmemdmmu_ci_i;
421
assign dcqmem_we_o = qmemdcpu_we_i;
422
assign dcqmem_sel_o = qmemdcpu_sel_i;
423
assign dcqmem_tag_o = qmemdcpu_tag_i;
424
assign dcqmem_dat_o = qmemdcpu_dat_i;
425
 
426
`endif
427
 
428
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.