OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_rf.v] - Blame information for rev 869

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's register file inside CPU                           ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of register file memories                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 869 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.13  2001/11/20 18:46:15  simons
51
// Break point bug fixed
52
//
53
// Revision 1.12  2001/11/13 10:02:21  lampret
54
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
55
//
56
// Revision 1.11  2001/11/12 01:45:40  lampret
57
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
58
//
59
// Revision 1.10  2001/11/10 03:43:57  lampret
60
// Fixed exceptions.
61
//
62
// Revision 1.9  2001/10/21 17:57:16  lampret
63
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
64
//
65
// Revision 1.8  2001/10/14 13:12:10  lampret
66
// MP3 version.
67
//
68
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
69
// no message
70
//
71
// Revision 1.3  2001/08/09 13:39:33  lampret
72
// Major clean-up.
73
//
74
// Revision 1.2  2001/07/22 03:31:54  lampret
75
// Fixed RAM's oen bug. Cache bypass under development.
76
//
77
// Revision 1.1  2001/07/20 00:46:21  lampret
78
// Development version of RTL. Libraries are missing.
79
//
80
//
81
 
82
// synopsys translate_off
83
`include "timescale.v"
84
// synopsys translate_on
85
`include "or1200_defines.v"
86
 
87
module or1200_rf(
88
        // Clock and reset
89
        clk, rst,
90
 
91
        // Write i/f
92
        supv, wb_freeze, addrw, dataw, we, flushpipe,
93
 
94
        // Read i/f
95
        id_freeze, addra, addrb, dataa, datab, rda, rdb,
96
 
97
        // Debug
98
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o
99
);
100
 
101
parameter dw = `OR1200_OPERAND_WIDTH;
102
parameter aw = `OR1200_REGFILE_ADDR_WIDTH;
103
 
104
//
105
// I/O
106
//
107
 
108
//
109
// Clock and reset
110
//
111
input                           clk;
112
input                           rst;
113
 
114
//
115
// Write i/f
116
//
117
input                           supv;
118
input                           wb_freeze;
119
input   [aw-1:0]         addrw;
120
input   [dw-1:0]         dataw;
121
input                           we;
122
input                           flushpipe;
123
 
124
//
125
// Read i/f
126
//
127
input                           id_freeze;
128
input   [aw-1:0]         addra;
129
input   [aw-1:0]         addrb;
130
output  [dw-1:0]         dataa;
131
output  [dw-1:0]         datab;
132
input                           rda;
133
input                           rdb;
134
 
135
//
136
// SPR access for debugging purposes
137
//
138
input                           spr_cs;
139
input                           spr_write;
140
input   [31:0]                   spr_addr;
141
input   [31:0]                   spr_dat_i;
142
output  [31:0]                   spr_dat_o;
143
 
144
//
145
// Internal wires and regs
146
//
147
wire    [dw-1:0]         from_rfa;
148
wire    [dw-1:0]         from_rfb;
149
reg     [dw:0]                   dataa_saved;
150
reg     [dw:0]                   datab_saved;
151
wire    [aw-1:0]         rf_addra;
152
wire    [aw-1:0]         rf_addrw;
153
wire    [dw-1:0]         rf_dataw;
154
wire                            rf_we;
155
wire                            spr_valid;
156
wire                            rf_ena;
157
wire                            rf_enb;
158
reg                             rf_we_allow;
159
 
160
//
161
// SPR access is valid when spr_cs is asserted and
162
// SPR address matches GPR addresses
163
//
164
assign spr_valid = spr_cs & (spr_addr[10:5] == `OR1200_SPR_RF);
165
 
166
//
167
// SPR data output is always from RF A
168
//
169
assign spr_dat_o = from_rfa;
170
 
171
//
172
// Operand A comes from RF or from saved A register
173
//
174
assign dataa = (dataa_saved[32]) ? dataa_saved[31:0] : from_rfa;
175
 
176
//
177
// Operand B comes from RF or from saved B register
178
//
179
assign datab = (datab_saved[32]) ? datab_saved[31:0] : from_rfb;
180
 
181
//
182
// RF A read address is either from SPRS or normal from CPU control
183
//
184
assign rf_addra = (spr_valid & !spr_write) ? spr_addr[4:0] : addra;
185
 
186
//
187
// RF write address is either from SPRS or normal from CPU control
188
//
189
assign rf_addrw = (spr_valid & spr_write) ? spr_addr[4:0] : addrw;
190
 
191
//
192
// RF write data is either from SPRS or normal from CPU datapath
193
//
194
assign rf_dataw = (spr_valid & spr_write) ? spr_dat_i : dataw;
195
 
196
//
197
// RF write enable is either from SPRS or normal from CPU control
198
//
199
always @(posedge rst or posedge clk)
200
        if (rst)
201
                rf_we_allow <= #1 1'b1;
202
        else if (~wb_freeze)
203
                rf_we_allow <= #1 ~flushpipe;
204
 
205
assign rf_we = ((spr_valid & spr_write) | (we & ~wb_freeze)) & rf_we_allow & (supv | (|rf_addrw));
206
 
207
//
208
// CS RF A asserted when instruction reads operand A and ID stage
209
// is not stalled
210
//
211
assign rf_ena = rda & ~id_freeze | spr_valid;   // probably works with fixed binutils
212
// assign rf_ena = 1'b1;                        // does not work with single-stepping
213
//assign rf_ena = ~id_freeze | spr_valid;       // works with broken binutils 
214
 
215
//
216
// CS RF B asserted when instruction reads operand B and ID stage
217
// is not stalled
218
//
219
assign rf_enb = rdb & ~id_freeze | spr_valid;
220
// assign rf_enb = 1'b1;
221
//assign rf_enb = ~id_freeze | spr_valid;       // works with broken binutils 
222
 
223
//
224
// Stores operand from RF_A into temp reg when pipeline is frozen
225
//
226
always @(posedge clk or posedge rst)
227
        if (rst) begin
228
                dataa_saved <= #1 33'b0;
229
        end
230
        else if (id_freeze & !dataa_saved[32]) begin
231
                dataa_saved <= #1 {1'b1, from_rfa};
232
        end
233
        else if (!id_freeze)
234
                dataa_saved <= #1 33'b0;
235
 
236
//
237
// Stores operand from RF_B into temp reg when pipeline is frozen
238
//
239
always @(posedge clk or posedge rst)
240
        if (rst) begin
241
                datab_saved <= #1 33'b0;
242
        end
243
        else if (id_freeze & !datab_saved[32]) begin
244
                datab_saved <= #1 {1'b1, from_rfb};
245
        end
246
        else if (!id_freeze)
247
                datab_saved <= #1 33'b0;
248
 
249
`ifdef OR1200_RFRAM_TWOPORT
250
 
251
//
252
// Instantiation of register file two-port RAM A
253
//
254
or1200_tpram_32x32 rf_a(
255
        // Port A
256
        .clk_a(clk),
257
        .rst_a(rst),
258
        .ce_a(rf_ena),
259
        .we_a(1'b0),
260
        .oe_a(1'b1),
261
        .addr_a(rf_addra),
262
        .di_a(32'h0000_0000),
263
        .do_a(from_rfa),
264
 
265
        // Port B
266
        .clk_b(clk),
267
        .rst_b(rst),
268
        .ce_b(rf_we),
269
        .we_b(rf_we),
270
        .oe_b(1'b0),
271
        .addr_b(rf_addrw),
272
        .di_b(rf_dataw),
273
        .do_b()
274
);
275
 
276
//
277
// Instantiation of register file two-port RAM B
278
//
279
or1200_tpram_32x32 rf_b(
280
        // Port A
281
        .clk_a(clk),
282
        .rst_a(rst),
283
        .ce_a(rf_enb),
284
        .we_a(1'b0),
285
        .oe_a(1'b1),
286
        .addr_a(addrb),
287
        .di_a(32'h0000_0000),
288
        .do_a(from_rfb),
289
 
290
        // Port B
291
        .clk_b(clk),
292
        .rst_b(rst),
293
        .ce_b(rf_we),
294
        .we_b(rf_we),
295
        .oe_b(1'b0),
296
        .addr_b(rf_addrw),
297
        .di_b(rf_dataw),
298
        .do_b()
299
);
300
 
301
`else
302
 
303 869 lampret
`ifdef OR1200_RFRAM_DUALPORT
304
 
305 504 lampret
//
306
// Instantiation of register file two-port RAM A
307
//
308
or1200_dpram_32x32 rf_a(
309
        // Port A
310
        .clk_a(clk),
311
        .rst_a(rst),
312
        .ce_a(rf_ena),
313
        .oe_a(1'b1),
314
        .addr_a(rf_addra),
315
        .do_a(from_rfa),
316
 
317
        // Port B
318
        .clk_b(clk),
319
        .rst_b(rst),
320
        .ce_b(rf_we),
321
        .we_b(rf_we),
322
        .addr_b(rf_addrw),
323
        .di_b(rf_dataw)
324
);
325
 
326
//
327
// Instantiation of register file two-port RAM B
328
//
329
or1200_dpram_32x32 rf_b(
330
        // Port A
331
        .clk_a(clk),
332
        .rst_a(rst),
333
        .ce_a(rf_enb),
334
        .oe_a(1'b1),
335
        .addr_a(addrb),
336
        .do_a(from_rfb),
337
 
338
        // Port B
339
        .clk_b(clk),
340
        .rst_b(rst),
341
        .ce_b(rf_we),
342
        .we_b(rf_we),
343
        .addr_b(rf_addrw),
344
        .di_b(rf_dataw)
345
);
346
 
347 869 lampret
`else
348
 
349
//
350
// Instantiation of generic (flip-flop based) register file
351
//
352
or1200_rfram_generic rf_a(
353
        // Clock and reset
354
        .clk(clk),
355
        .rst(rst),
356
 
357
        // Port A
358
        .ce_a(rf_ena),
359
        .addr_a(rf_addra),
360
        .do_a(from_rfa),
361
 
362
        // Port B
363
        .ce_b(rf_enb),
364
        .addr_b(addrb),
365
        .do_b(from_rfb),
366
 
367
        // Port W
368
        .ce_w(rf_we),
369
        .we_w(rf_we),
370
        .addr_w(rf_addrw),
371
        .di_w(rf_dataw)
372
);
373
 
374 504 lampret
`endif
375 869 lampret
`endif
376 504 lampret
 
377
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.