OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_spram_1024x8.v] - Blame information for rev 1163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1129 lampret
// Revision 1.2  2002/10/17 20:04:40  lampret
67
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
68
//
69 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
70
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
71
//
72 504 lampret
// Revision 1.8  2001/11/02 18:57:14  lampret
73
// Modified virtual silicon instantiations.
74
//
75
// Revision 1.7  2001/10/21 17:57:16  lampret
76
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
77
//
78
// Revision 1.6  2001/10/14 13:12:09  lampret
79
// MP3 version.
80
//
81
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
82
// no message
83
//
84
// Revision 1.1  2001/08/09 13:39:33  lampret
85
// Major clean-up.
86
//
87
// Revision 1.2  2001/07/30 05:38:02  lampret
88
// Adding empty directories required by HDL coding guidelines
89
//
90
//
91
 
92
// synopsys translate_off
93
`include "timescale.v"
94
// synopsys translate_on
95
`include "or1200_defines.v"
96
 
97
module or1200_spram_1024x8(
98 1063 lampret
`ifdef OR1200_BIST
99
        // RAM BIST
100
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
101
`endif
102 504 lampret
        // Generic synchronous single-port RAM interface
103
        clk, rst, ce, we, oe, addr, di, do
104
);
105
 
106
//
107
// Default address and data buses width
108
//
109
parameter aw = 10;
110
parameter dw = 8;
111
 
112 1063 lampret
`ifdef OR1200_BIST
113 504 lampret
//
114 1063 lampret
// RAM BIST
115
//
116
input                   scanb_rst,
117
                        scanb_si,
118
                        scanb_en,
119
                        scanb_clk;
120
output                  scanb_so;
121
`endif
122
 
123
//
124 504 lampret
// Generic synchronous single-port RAM interface
125
//
126
input                   clk;    // Clock
127
input                   rst;    // Reset
128
input                   ce;     // Chip enable input
129
input                   we;     // Write enable input
130
input                   oe;     // Output enable input
131
input   [aw-1:0] addr;   // address bus inputs
132
input   [dw-1:0] di;     // input data bus
133
output  [dw-1:0] do;     // output data bus
134
 
135
//
136
// Internal wires and registers
137
//
138
 
139 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
140
`else
141
`ifdef OR1200_BIST
142
assign scanb_so = scanb_si;
143
`endif
144
`endif
145 504 lampret
 
146
`ifdef OR1200_ARTISAN_SSP
147
 
148
//
149
// Instantiation of ASIC memory:
150
//
151
// Artisan Synchronous Single-Port RAM (ra1sh)
152
//
153
`ifdef UNUSED
154
art_hssp_1024x8 #(dw, 1<<aw, aw) artisan_ssp(
155
`else
156
art_hssp_1024x8 artisan_ssp(
157
`endif
158
        .clk(clk),
159
        .cen(~ce),
160
        .wen(~we),
161
        .a(addr),
162
        .d(di),
163
        .oen(~oe),
164
        .q(do)
165
);
166
 
167
`else
168
 
169
`ifdef OR1200_AVANT_ATP
170
 
171
//
172
// Instantiation of ASIC memory:
173
//
174
// Avant! Asynchronous Two-Port RAM
175
//
176
avant_atp avant_atp(
177
        .web(~we),
178
        .reb(),
179
        .oeb(~oe),
180
        .rcsb(),
181
        .wcsb(),
182
        .ra(addr),
183
        .wa(addr),
184
        .di(di),
185
        .do(do)
186
);
187
 
188
`else
189
 
190
`ifdef OR1200_VIRAGE_SSP
191
 
192
//
193
// Instantiation of ASIC memory:
194
//
195
// Virage Synchronous 1-port R/W RAM
196
//
197
virage_ssp virage_ssp(
198
        .clk(clk),
199
        .adr(addr),
200
        .d(di),
201
        .we(we),
202
        .oe(oe),
203
        .me(ce),
204
        .q(do)
205
);
206
 
207
`else
208
 
209
`ifdef OR1200_VIRTUALSILICON_SSP
210
 
211
//
212
// Instantiation of ASIC memory:
213
//
214
// Virtual Silicon Single-Port Synchronous SRAM
215
//
216
`ifdef UNUSED
217
vs_hdsp_1024x8 #(1<<aw, aw-1, dw-1) vs_ssp(
218
`else
219 1063 lampret
`ifdef OR1200_BIST
220
vs_hdsp_1024x8_bist vs_ssp(
221
`else
222 504 lampret
vs_hdsp_1024x8 vs_ssp(
223
`endif
224 1063 lampret
`endif
225
`ifdef OR1200_BIST
226
        // RAM BIST
227
        .scanb_rst(scanb_rst),
228
        .scanb_si(scanb_si),
229
        .scanb_so(scanb_so),
230
        .scanb_en(scanb_en),
231
        .scanb_clk(scanb_clk),
232
`endif
233 504 lampret
        .CK(clk),
234
        .ADR(addr),
235
        .DI(di),
236
        .WEN(~we),
237
        .CEN(~ce),
238
        .OEN(~oe),
239
        .DOUT(do)
240
);
241
 
242
`else
243
 
244
`ifdef OR1200_XILINX_RAMB4
245
 
246
//
247
// Instantiation of FPGA memory:
248
//
249
// Virtex/Spartan2
250
//
251
 
252
//
253
// Block 0
254
//
255
RAMB4_S4 ramb4_s4_0(
256
        .CLK(clk),
257
        .RST(rst),
258
        .ADDR(addr),
259
        .DI(di[3:0]),
260
        .EN(ce),
261
        .WE(we),
262
        .DO(do[3:0])
263
);
264
 
265
//
266
// Block 1
267
//
268
RAMB4_S4 ramb4_s4_1(
269
        .CLK(clk),
270
        .RST(rst),
271
        .ADDR(addr),
272
        .DI(di[7:4]),
273
        .EN(ce),
274
        .WE(we),
275
        .DO(do[7:4])
276
);
277
 
278
`else
279
 
280 1129 lampret
`ifdef OR1200_ALTERA_LPM
281
 
282 504 lampret
//
283 1129 lampret
// Instantiation of FPGA memory:
284
//
285
// Altera LPM
286
//
287
// Added By Jamil Khatib
288
//
289
 
290
wire    wr;
291
 
292
assign  wr = ce & we;
293
 
294
initial $display("Using Altera LPM.");
295
 
296
lpm_ram_dq lpm_ram_dq_component (
297
        .address(addr),
298
        .inclock(clk),
299
        .outclock(clk),
300
        .data(di),
301
        .we(wr),
302
        .q(do)
303
);
304
 
305
defparam lpm_ram_dq_component.lpm_width = dw,
306
        lpm_ram_dq_component.lpm_widthad = aw,
307
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
308
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
309
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
310
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
311
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
312
 
313
`else
314
 
315
//
316 504 lampret
// Generic single-port synchronous RAM model
317
//
318
 
319
//
320
// Generic RAM's registers and wires
321
//
322
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
323
reg     [dw-1:0] do_reg;                 // RAM data output register
324
 
325
//
326
// Data output drivers
327
//
328 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
329 504 lampret
 
330
//
331
// RAM read and write
332
//
333
always @(posedge clk)
334
        if (ce && !we)
335
                do_reg <= #1 mem[addr];
336
        else if (ce && we)
337
                mem[addr] <= #1 di;
338
 
339 1129 lampret
`endif  // !OR1200_ALTERA_LPM
340 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
341
`endif  // !OR1200_VIRTUALSILICON_SSP
342
`endif  // !OR1200_VIRAGE_SSP
343
`endif  // !OR1200_AVANT_ATP
344
`endif  // !OR1200_ARTISAN_SSP
345
 
346
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.