OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x24.v] - Blame information for rev 1163

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1129 lampret
// Revision 1.2  2002/10/17 20:04:41  lampret
67
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
68
//
69 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
70
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
71
//
72 504 lampret
// Revision 1.8  2001/11/02 18:57:14  lampret
73
// Modified virtual silicon instantiations.
74
//
75
// Revision 1.7  2001/10/22 19:39:56  lampret
76
// Fixed parameters in generic sprams.
77
//
78
// Revision 1.6  2001/10/21 17:57:16  lampret
79
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
80
//
81
// Revision 1.5  2001/10/14 13:12:09  lampret
82
// MP3 version.
83
//
84
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
85
// no message
86
//
87
// Revision 1.1  2001/08/09 13:39:33  lampret
88
// Major clean-up.
89
//
90
// Revision 1.2  2001/07/30 05:38:02  lampret
91
// Adding empty directories required by HDL coding guidelines
92
//
93
//
94
 
95
// synopsys translate_off
96
`include "timescale.v"
97
// synopsys translate_on
98
`include "or1200_defines.v"
99
 
100
module or1200_spram_64x24(
101 1063 lampret
`ifdef OR1200_BIST
102
        // RAM BIST
103
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
104
`endif
105 504 lampret
        // Generic synchronous single-port RAM interface
106
        clk, rst, ce, we, oe, addr, di, do
107
);
108
 
109
//
110
// Default address and data buses width
111
//
112
parameter aw = 6;
113
parameter dw = 24;
114
 
115 1063 lampret
`ifdef OR1200_BIST
116 504 lampret
//
117 1063 lampret
// RAM BIST
118
//
119
input                   scanb_rst,
120
                        scanb_si,
121
                        scanb_en,
122
                        scanb_clk;
123
output                  scanb_so;
124
`endif
125
 
126
//
127 504 lampret
// Generic synchronous single-port RAM interface
128
//
129
input                   clk;    // Clock
130
input                   rst;    // Reset
131
input                   ce;     // Chip enable input
132
input                   we;     // Write enable input
133
input                   oe;     // Output enable input
134
input   [aw-1:0] addr;   // address bus inputs
135
input   [dw-1:0] di;     // input data bus
136
output  [dw-1:0] do;     // output data bus
137
 
138
//
139
// Internal wires and registers
140
//
141
wire    [7:0]            unconnected;
142
 
143 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
144
`else
145
`ifdef OR1200_BIST
146
assign scanb_so = scanb_si;
147
`endif
148
`endif
149
 
150 504 lampret
`ifdef OR1200_ARTISAN_SSP
151
 
152
//
153
// Instantiation of ASIC memory:
154
//
155
// Artisan Synchronous Single-Port RAM (ra1sh)
156
//
157
`ifdef UNUSED
158
art_hssp_64x24 #(dw, 1<<aw, aw) artisan_ssp(
159
`else
160
art_hssp_64x24 artisan_ssp(
161
`endif
162
        .clk(clk),
163
        .cen(~ce),
164
        .wen(~we),
165
        .a(addr),
166
        .d(di),
167
        .oen(~oe),
168
        .q(do)
169
);
170
 
171
`else
172
 
173
`ifdef OR1200_AVANT_ATP
174
 
175
//
176
// Instantiation of ASIC memory:
177
//
178
// Avant! Asynchronous Two-Port RAM
179
//
180
avant_atp avant_atp(
181
        .web(~we),
182
        .reb(),
183
        .oeb(~oe),
184
        .rcsb(),
185
        .wcsb(),
186
        .ra(addr),
187
        .wa(addr),
188
        .di(di),
189
        .do(do)
190
);
191
 
192
`else
193
 
194
`ifdef OR1200_VIRAGE_SSP
195
 
196
//
197
// Instantiation of ASIC memory:
198
//
199
// Virage Synchronous 1-port R/W RAM
200
//
201
virage_ssp virage_ssp(
202
        .clk(clk),
203
        .adr(addr),
204
        .d(di),
205
        .we(we),
206
        .oe(oe),
207
        .me(ce),
208
        .q(do)
209
);
210
 
211
`else
212
 
213
`ifdef OR1200_VIRTUALSILICON_SSP
214
 
215
//
216
// Instantiation of ASIC memory:
217
//
218
// Virtual Silicon Single-Port Synchronous SRAM
219
//
220
`ifdef UNUSED
221
vs_hdsp_64x24 #(1<<aw, aw-1, dw-1) vs_ssp(
222
`else
223 1063 lampret
`ifdef OR1200_BIST
224
vs_hdsp_64x24_bist vs_ssp(
225
`else
226 504 lampret
vs_hdsp_64x24 vs_ssp(
227
`endif
228 1063 lampret
`endif
229
`ifdef OR1200_BIST
230
        // RAM BIST
231
        .scanb_rst(scanb_rst),
232
        .scanb_si(scanb_si),
233
        .scanb_so(scanb_so),
234
        .scanb_en(scanb_en),
235
        .scanb_clk(scanb_clk),
236
`endif
237 504 lampret
        .CK(clk),
238
        .ADR(addr),
239
        .DI(di),
240
        .WEN(~we),
241
        .CEN(~ce),
242
        .OEN(~oe),
243
        .DOUT(do)
244
);
245
 
246
`else
247
 
248
`ifdef OR1200_XILINX_RAMB4
249
 
250
//
251
// Instantiation of FPGA memory:
252
//
253
// Virtex/Spartan2
254
//
255
 
256
//
257
// Block 0
258
//
259
RAMB4_S16 ramb4_s16_0(
260
        .CLK(clk),
261
        .RST(rst),
262
        .ADDR({2'b00, addr}),
263
        .DI(di[15:0]),
264
        .EN(ce),
265
        .WE(we),
266
        .DO(do[15:0])
267
);
268
 
269
//
270
// Block 1
271
//
272
RAMB4_S16 ramb4_s16_1(
273
        .CLK(clk),
274
        .RST(rst),
275
        .ADDR({2'b00, addr}),
276
        .DI({unconnected, di[23:16]}),
277
        .EN(ce),
278
        .WE(we),
279
        .DO({unconnected, do[23:16]})
280
);
281
 
282
`else
283
 
284 1129 lampret
`ifdef OR1200_ALTERA_LPM
285
 
286 504 lampret
//
287 1129 lampret
// Instantiation of FPGA memory:
288
//
289
// Altera LPM
290
//
291
// Added By Jamil Khatib
292
//
293
 
294
wire    wr;
295
 
296
assign  wr = ce & we;
297
 
298
initial $display("Using Altera LPM.");
299
 
300
lpm_ram_dq lpm_ram_dq_component (
301
        .address(addr),
302
        .inclock(clk),
303
        .outclock(clk),
304
        .data(di),
305
        .we(wr),
306
        .q(do)
307
);
308
 
309
defparam lpm_ram_dq_component.lpm_width = dw,
310
        lpm_ram_dq_component.lpm_widthad = aw,
311
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
312
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
313
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
314
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
315
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
316
 
317
`else
318
 
319
//
320 504 lampret
// Generic single-port synchronous RAM model
321
//
322
 
323
//
324
// Generic RAM's registers and wires
325
//
326
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
327
reg     [dw-1:0] do_reg;                 // RAM data output register
328
 
329
//
330
// Data output drivers
331
//
332 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
333 504 lampret
 
334
//
335
// RAM read and write
336
//
337
always @(posedge clk)
338
        if (ce && !we)
339
                do_reg <= #1 mem[addr];
340
        else if (ce && we)
341
                mem[addr] <= #1 di;
342
 
343 1129 lampret
`endif  // !OR1200_ALTERA_LPM
344 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
345
`endif  // !OR1200_VIRTUALSILICON_SSP
346
`endif  // !OR1200_VIRAGE_SSP
347
`endif  // !OR1200_AVANT_ATP
348
`endif  // !OR1200_ARTISAN_SSP
349
 
350
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.