OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_sprs.v] - Blame information for rev 589

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's interface to SPRs                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Decoding of SPR addresses and access to SPRs                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 589 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.12  2001/11/23 21:42:31  simons
51
// Program counter divided to PPC and NPC.
52
//
53
// Revision 1.11  2001/11/23 08:38:51  lampret
54
// Changed DSR/DRR behavior and exception detection.
55
//
56
// Revision 1.10  2001/11/12 01:45:41  lampret
57
// Moved flag bit into SR. Changed RF enable from constant enable to dynamic enable for read ports.
58
//
59
// Revision 1.9  2001/10/21 17:57:16  lampret
60
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
61
//
62
// Revision 1.8  2001/10/14 13:12:10  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
66
// no message
67
//
68
// Revision 1.3  2001/08/13 03:36:20  lampret
69
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
70
//
71
// Revision 1.2  2001/08/09 13:39:33  lampret
72
// Major clean-up.
73
//
74
// Revision 1.1  2001/07/20 00:46:21  lampret
75
// Development version of RTL. Libraries are missing.
76
//
77
//
78
 
79
// synopsys translate_off
80
`include "timescale.v"
81
// synopsys translate_on
82
`include "or1200_defines.v"
83
 
84
module or1200_sprs(
85
                // Clk & Rst
86
                clk, rst,
87
 
88
                // Internal CPU interface
89
                flagforw, flag_we, flag, addrbase, addrofs, dat_i, alu_op, branch_op,
90
                epcr, eear, esr, except_start, except_started,
91
                to_wbmux, epcr_we, eear_we, esr_we, pc_we, sr,
92
                spr_dat_cfgr, spr_dat_rf, spr_dat_npc, spr_dat_ppc, spr_dat_mac,
93
 
94
                // From/to other RISC units
95
                spr_dat_pic, spr_dat_tt, spr_dat_pm,
96
                spr_dat_dmmu, spr_dat_immu, spr_dat_du,
97
                spr_addr, spr_dataout, spr_cs, spr_we,
98
 
99
                du_addr, du_dat_du, du_read,
100
                du_write
101
 
102
);
103
 
104
parameter width = `OR1200_OPERAND_WIDTH;
105
 
106
//
107
// I/O Ports
108
//
109
 
110
//
111
// Internal CPU interface
112
//
113
input                           clk;            // Clock
114
input                           rst;            // Reset
115
output                          flag;           // SR[F]
116
input                           flagforw;       // From ALU
117
input                           flag_we;        // From ALU
118
input   [width-1:0]              addrbase;       // SPR base address
119
input   [15:0]                   addrofs;        // SPR offset
120
input   [width-1:0]              dat_i;          // SPR write data
121
input   [`OR1200_ALUOP_WIDTH-1:0]        alu_op;         // ALU operation
122
input   [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;      // Branch operation
123
input   [width-1:0]              epcr;           // EPCR0
124
input   [width-1:0]              eear;           // EEAR0
125
input   [`OR1200_SR_WIDTH-1:0]   esr;            // ESR0
126
input                           except_start;   // Start of exception
127
input                           except_started; // Exception was started
128
output  [width-1:0]              to_wbmux;       // For l.mfspr
129
output                          epcr_we;        // EPCR0 write enable
130
output                          eear_we;        // EEAR0 write enable
131
output                          esr_we;         // ESR0 write enable
132
output                          pc_we;          // PC write enable
133
output  [`OR1200_SR_WIDTH-1:0]           sr;             // SR
134
input   [31:0]                   spr_dat_cfgr;   // Data from CFGR
135
input   [31:0]                   spr_dat_rf;     // Data from RF
136
input   [31:0]                   spr_dat_npc;    // Data from NPC
137
input   [31:0]                   spr_dat_ppc;    // Data from PPC   
138
input   [31:0]                   spr_dat_mac;    // Data from MAC
139
 
140
//
141
// To/from other RISC units
142
//
143
input   [31:0]                   spr_dat_pic;    // Data from PIC
144
input   [31:0]                   spr_dat_tt;     // Data from TT
145
input   [31:0]                   spr_dat_pm;     // Data from PM
146
input   [31:0]                   spr_dat_dmmu;   // Data from DMMU
147
input   [31:0]                   spr_dat_immu;   // Data from IMMU
148
input   [31:0]                   spr_dat_du;     // Data from DU
149
output  [31:0]                   spr_addr;       // SPR Address
150
output  [31:0]                   spr_dataout;    // Data to unit
151
output  [31:0]                   spr_cs;         // Unit select
152
output                          spr_we;         // SPR write enable
153
 
154
//
155
// To/from Debug Unit
156
//
157
input   [width-1:0]              du_addr;        // Address
158
input   [width-1:0]              du_dat_du;      // Data from DU to SPRS
159
input                           du_read;        // Read qualifier
160
input                           du_write;       // Write qualifier
161
 
162
//
163
// Internal regs & wires
164
//
165
reg     [`OR1200_SR_WIDTH-1:0]           sr;             // SR
166
reg                             write_spr;      // Write SPR
167
reg                             read_spr;       // Read SPR
168
reg     [width-1:0]              to_wbmux;       // For l.mfspr
169
wire                            sr_we;          // Write enable SR
170
wire                            cfgr_sel;       // Select for cfg regs
171
wire                            rf_sel;         // Select for RF
172
wire                            npc_sel;        // Select for NPC
173
wire                            ppc_sel;        // Select for PPC
174
wire                            sr_sel;         // Select for SR        
175
wire                            epcr_sel;       // Select for EPCR0
176
wire                            eear_sel;       // Select for EEAR0
177
wire                            esr_sel;        // Select for ESR0
178
wire    [31:0]                   sys_data;       // Read data from system SPRs
179
wire    [`OR1200_SR_WIDTH-1:0]           to_sr;          // Data to SR
180
wire                            du_access;      // Debug unit access
181
wire    [`OR1200_ALUOP_WIDTH-1:0]        sprs_op;        // ALU operation
182
reg     [31:0]                   unqualified_cs; // Unqualified chip selects
183
 
184
//
185
// Decide if it is debug unit access
186
//
187
assign du_access = du_read | du_write;
188
 
189
//
190
// Generate sprs opcode
191
//
192
assign sprs_op = du_write ? `OR1200_ALUOP_MTSR : du_read ? `OR1200_ALUOP_MFSR : alu_op;
193
 
194
//
195
// Generate SPR address from base address and offset
196
// OR from debug unit address
197
//
198
assign spr_addr = du_access ? du_addr : addrbase + {16'h0000, addrofs};
199
 
200
//
201
// SPR is written with dat_i from l.mtspr
202
// OR by debug unit
203
//
204
assign spr_dataout = du_write ? du_dat_du : du_read ? to_wbmux : dat_i;
205
 
206
//
207
// Write into SPRs when l.mtspr
208
//
209
assign spr_we = du_write | write_spr;
210
 
211
//
212
// Qualify chip selects
213
//
214
assign spr_cs = unqualified_cs & {32{read_spr | write_spr}};
215
 
216
//
217
// Decoding of groups
218
//
219
always @(spr_addr)
220
        case (spr_addr[`OR1200_SPR_GROUP_BITS]) // synopsys parallel_case
221
                `OR1200_SPR_GROUP_WIDTH'd00: unqualified_cs = 32'b00000000_00000000_00000000_00000001;
222
                `OR1200_SPR_GROUP_WIDTH'd01: unqualified_cs = 32'b00000000_00000000_00000000_00000010;
223
                `OR1200_SPR_GROUP_WIDTH'd02: unqualified_cs = 32'b00000000_00000000_00000000_00000100;
224
                `OR1200_SPR_GROUP_WIDTH'd03: unqualified_cs = 32'b00000000_00000000_00000000_00001000;
225
                `OR1200_SPR_GROUP_WIDTH'd04: unqualified_cs = 32'b00000000_00000000_00000000_00010000;
226
                `OR1200_SPR_GROUP_WIDTH'd05: unqualified_cs = 32'b00000000_00000000_00000000_00100000;
227
                `OR1200_SPR_GROUP_WIDTH'd06: unqualified_cs = 32'b00000000_00000000_00000000_01000000;
228
                `OR1200_SPR_GROUP_WIDTH'd07: unqualified_cs = 32'b00000000_00000000_00000000_10000000;
229
                `OR1200_SPR_GROUP_WIDTH'd08: unqualified_cs = 32'b00000000_00000000_00000001_00000000;
230
                `OR1200_SPR_GROUP_WIDTH'd09: unqualified_cs = 32'b00000000_00000000_00000010_00000000;
231
                `OR1200_SPR_GROUP_WIDTH'd10: unqualified_cs = 32'b00000000_00000000_00000100_00000000;
232
                `OR1200_SPR_GROUP_WIDTH'd11: unqualified_cs = 32'b00000000_00000000_00001000_00000000;
233
                `OR1200_SPR_GROUP_WIDTH'd12: unqualified_cs = 32'b00000000_00000000_00010000_00000000;
234
                `OR1200_SPR_GROUP_WIDTH'd13: unqualified_cs = 32'b00000000_00000000_00100000_00000000;
235
                `OR1200_SPR_GROUP_WIDTH'd14: unqualified_cs = 32'b00000000_00000000_01000000_00000000;
236
                `OR1200_SPR_GROUP_WIDTH'd15: unqualified_cs = 32'b00000000_00000000_10000000_00000000;
237
                `OR1200_SPR_GROUP_WIDTH'd16: unqualified_cs = 32'b00000000_00000001_00000000_00000000;
238
                `OR1200_SPR_GROUP_WIDTH'd17: unqualified_cs = 32'b00000000_00000010_00000000_00000000;
239
                `OR1200_SPR_GROUP_WIDTH'd18: unqualified_cs = 32'b00000000_00000100_00000000_00000000;
240
                `OR1200_SPR_GROUP_WIDTH'd19: unqualified_cs = 32'b00000000_00001000_00000000_00000000;
241
                `OR1200_SPR_GROUP_WIDTH'd20: unqualified_cs = 32'b00000000_00010000_00000000_00000000;
242
                `OR1200_SPR_GROUP_WIDTH'd21: unqualified_cs = 32'b00000000_00100000_00000000_00000000;
243
                `OR1200_SPR_GROUP_WIDTH'd22: unqualified_cs = 32'b00000000_01000000_00000000_00000000;
244
                `OR1200_SPR_GROUP_WIDTH'd23: unqualified_cs = 32'b00000000_10000000_00000000_00000000;
245
                `OR1200_SPR_GROUP_WIDTH'd24: unqualified_cs = 32'b00000001_00000000_00000000_00000000;
246
                `OR1200_SPR_GROUP_WIDTH'd25: unqualified_cs = 32'b00000010_00000000_00000000_00000000;
247
                `OR1200_SPR_GROUP_WIDTH'd26: unqualified_cs = 32'b00000100_00000000_00000000_00000000;
248
                `OR1200_SPR_GROUP_WIDTH'd27: unqualified_cs = 32'b00001000_00000000_00000000_00000000;
249
                `OR1200_SPR_GROUP_WIDTH'd28: unqualified_cs = 32'b00010000_00000000_00000000_00000000;
250
                `OR1200_SPR_GROUP_WIDTH'd29: unqualified_cs = 32'b00100000_00000000_00000000_00000000;
251
                `OR1200_SPR_GROUP_WIDTH'd30: unqualified_cs = 32'b01000000_00000000_00000000_00000000;
252
                `OR1200_SPR_GROUP_WIDTH'd31: unqualified_cs = 32'b10000000_00000000_00000000_00000000;
253
        endcase
254
 
255
//
256
// SPRs System Group
257
//
258
 
259
//
260
// What to write into SR
261
//
262 589 lampret
assign to_sr = (branch_op == `OR1200_BRANCHOP_RFE) ? esr : {1'b1, spr_dataout[`OR1200_SR_WIDTH-2:0]};
263 504 lampret
 
264
//
265
// Selects for system SPRs
266
//
267
assign cfgr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:4] == `OR1200_SPR_CFGR));
268
assign rf_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:5] == `OR1200_SPR_RF));
269
assign npc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_NPC));
270
assign ppc_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_PPC));
271
assign sr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_SR));
272
assign epcr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EPCR));
273
assign eear_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_EEAR));
274
assign esr_sel = (spr_cs[`OR1200_SPR_GROUP_SYS] && (spr_addr[10:0] == `OR1200_SPR_ESR));
275
 
276
//
277
// Write enables for system SPRs
278
//
279
assign sr_we = (write_spr && sr_sel) | (branch_op == `OR1200_BRANCHOP_RFE);
280
assign pc_we = (write_spr && (npc_sel | ppc_sel));
281
assign epcr_we = (write_spr && epcr_sel);
282
assign eear_we = (write_spr && eear_sel);
283
assign esr_we = (write_spr && esr_sel);
284
 
285
//
286
// Output from system SPRs
287
//
288
assign sys_data = (spr_dat_cfgr & {32{read_spr & cfgr_sel}}) |
289
                  (spr_dat_rf & {32{read_spr & rf_sel}}) |
290
                  (spr_dat_npc & {32{read_spr & npc_sel}}) |
291
                  (spr_dat_ppc & {32{read_spr & ppc_sel}}) |
292
                  ({{32-`OR1200_SR_WIDTH{1'b0}},sr} & {32{read_spr & sr_sel}}) |
293
                  (epcr & {32{read_spr & epcr_sel}}) |
294
                  (eear & {32{read_spr & eear_sel}}) |
295
                  ({{32-`OR1200_SR_WIDTH{1'b0}},esr} & {32{read_spr & esr_sel}});
296
 
297
//
298
// Flag alias
299
//
300
assign flag = sr[`OR1200_SR_F];
301
 
302
//
303
// Supervision register
304
//
305
always @(posedge clk or posedge rst)
306
        if (rst)
307
                sr <= #1 `OR1200_SR_WIDTH'b011;
308
        else if (except_started) begin
309 589 lampret
                sr[`OR1200_SR_SM] <= #1 1'b1;
310
                sr[`OR1200_SR_TEE] <= #1 1'b0;
311
                sr[`OR1200_SR_IEE] <= #1 1'b0;
312 504 lampret
                sr[`OR1200_SR_DME] <= #1 1'b0;
313
                sr[`OR1200_SR_IME] <= #1 1'b0;
314
        end
315 589 lampret
        else if (sr_we)
316
                sr <= #1 to_sr[`OR1200_SR_WIDTH-1:0];
317
        else if (flag_we)
318 504 lampret
                sr[`OR1200_SR_F] <= #1 flagforw;
319
 
320
//
321
// MTSPR/MFSPR interface
322
//
323
always @(sprs_op or spr_addr or spr_dataout or sys_data or spr_dat_mac or spr_dat_pic or spr_dat_pm or
324
        spr_dat_dmmu or spr_dat_immu or spr_dat_du or spr_dat_tt) begin
325
        case (sprs_op)  // synopsys full_case parallel_case
326
                `OR1200_ALUOP_MTSR : begin
327
`ifdef OR1200_VERBOSE
328
// synopsys translate_off
329
                        $display("%t: SPRS: mtspr (%h) <- %h", $time, spr_addr, spr_dataout);
330
// synopsys translate_on
331
`endif
332
                        write_spr = 1'b1;
333
                        read_spr = 1'b0;
334
                        to_wbmux = 32'b0;
335
                end
336
                `OR1200_ALUOP_MFSR : begin
337
                        casex (spr_addr[`OR1200_SPR_GROUP_BITS])
338
                                `OR1200_SPR_GROUP_TT:
339
                                        to_wbmux = spr_dat_tt;
340
                                `OR1200_SPR_GROUP_PIC:
341
                                        to_wbmux = spr_dat_pic;
342
                                `OR1200_SPR_GROUP_PM:
343
                                        to_wbmux = spr_dat_pm;
344
                                `OR1200_SPR_GROUP_DMMU:
345
                                        to_wbmux = spr_dat_dmmu;
346
                                `OR1200_SPR_GROUP_IMMU:
347
                                        to_wbmux = spr_dat_immu;
348
                                `OR1200_SPR_GROUP_MAC:
349
                                        to_wbmux = spr_dat_mac;
350
                                `OR1200_SPR_GROUP_DU:
351
                                        to_wbmux = spr_dat_du;
352
                                `OR1200_SPR_GROUP_SYS:
353
                                        to_wbmux = sys_data;
354
                                default:
355
                                        to_wbmux = 32'b0;
356
                        endcase
357
                        write_spr = 1'b0;
358
                        read_spr = 1'b1;
359
                end
360
                default : begin
361
                        write_spr = 1'b0;
362
                        read_spr = 1'b0;
363
                        to_wbmux = 32'b0;
364
                end
365
        endcase
366
end
367
 
368
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.