OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_top.v] - Blame information for rev 660

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200 Top Level                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  OR1200 Top Level                                            ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 660 lampret
// Revision 1.4  2002/02/01 19:56:55  lampret
48
// Fixed combinational loops.
49
//
50 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
51
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
52
//
53 617 lampret
// Revision 1.2  2002/01/18 07:56:00  lampret
54
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
55
//
56 589 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
57
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
58
//
59 504 lampret
// Revision 1.13  2001/11/23 08:38:51  lampret
60
// Changed DSR/DRR behavior and exception detection.
61
//
62
// Revision 1.12  2001/11/20 00:57:22  lampret
63
// Fixed width of du_except.
64
//
65
// Revision 1.11  2001/11/18 08:36:28  lampret
66
// For GDB changed single stepping and disabled trap exception.
67
//
68
// Revision 1.10  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.9  2001/10/14 13:12:10  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
75
// no message
76
//
77
// Revision 1.4  2001/08/13 03:36:20  lampret
78
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
79
//
80
// Revision 1.3  2001/08/09 13:39:33  lampret
81
// Major clean-up.
82
//
83
// Revision 1.2  2001/07/22 03:31:54  lampret
84
// Fixed RAM's oen bug. Cache bypass under development.
85
//
86
// Revision 1.1  2001/07/20 00:46:21  lampret
87
// Development version of RTL. Libraries are missing.
88
//
89
//
90
 
91
// synopsys translate_off
92
`include "timescale.v"
93
// synopsys translate_on
94
`include "or1200_defines.v"
95
 
96
module or1200_top(
97
        // System
98
        clk_i, rst_i, pic_ints_i, clmode_i,
99
 
100
        // Instruction WISHBONE INTERFACE
101
        iwb_clk_i, iwb_rst_i, iwb_ack_i, iwb_err_i, iwb_rty_i, iwb_dat_i,
102
        iwb_cyc_o, iwb_adr_o, iwb_stb_o, iwb_we_o, iwb_sel_o, iwb_cab_o, iwb_dat_o,
103
 
104
        // Data WISHBONE INTERFACE
105
        dwb_clk_i, dwb_rst_i, dwb_ack_i, dwb_err_i, dwb_rty_i, dwb_dat_i,
106
        dwb_cyc_o, dwb_adr_o, dwb_stb_o, dwb_we_o, dwb_sel_o, dwb_cab_o, dwb_dat_o,
107
 
108
        // External Debug Interface
109
        dbg_stall_i, dbg_dat_i, dbg_adr_i, dbg_op_i, dbg_ewt_i,
110
        dbg_lss_o, dbg_is_o, dbg_wp_o, dbg_bp_o, dbg_dat_o,
111
 
112
        // Power Management
113
        pm_cpustall_i,
114
        pm_clksd_o, pm_dc_gate_o, pm_ic_gate_o, pm_dmmu_gate_o,
115
        pm_immu_gate_o, pm_tt_gate_o, pm_cpu_gate_o, pm_wakeup_o, pm_lvolt_o
116
 
117
);
118
 
119
parameter dw = `OR1200_OPERAND_WIDTH;
120
parameter aw = `OR1200_OPERAND_WIDTH;
121
parameter ppic_ints = `OR1200_PIC_INTS;
122
 
123
//
124
// I/O
125
//
126
 
127
//
128
// System
129
//
130
input                   clk_i;
131
input                   rst_i;
132
input   [1:0]            clmode_i;       // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
133
input   [ppic_ints-1:0]  pic_ints_i;
134
 
135
//
136
// Instruction WISHBONE interface
137
//
138
input                   iwb_clk_i;      // clock input
139
input                   iwb_rst_i;      // reset input
140
input                   iwb_ack_i;      // normal termination
141
input                   iwb_err_i;      // termination w/ error
142
input                   iwb_rty_i;      // termination w/ retry
143
input   [dw-1:0] iwb_dat_i;      // input data bus
144
output                  iwb_cyc_o;      // cycle valid output
145
output  [aw-1:0] iwb_adr_o;      // address bus outputs
146
output                  iwb_stb_o;      // strobe output
147
output                  iwb_we_o;       // indicates write transfer
148
output  [3:0]            iwb_sel_o;      // byte select outputs
149
output                  iwb_cab_o;      // indicates consecutive address burst
150
output  [dw-1:0] iwb_dat_o;      // output data bus
151
 
152
//
153
// Data WISHBONE interface
154
//
155
input                   dwb_clk_i;      // clock input
156
input                   dwb_rst_i;      // reset input
157
input                   dwb_ack_i;      // normal termination
158
input                   dwb_err_i;      // termination w/ error
159
input                   dwb_rty_i;      // termination w/ retry
160
input   [dw-1:0] dwb_dat_i;      // input data bus
161
output                  dwb_cyc_o;      // cycle valid output
162
output  [aw-1:0] dwb_adr_o;      // address bus outputs
163
output                  dwb_stb_o;      // strobe output
164
output                  dwb_we_o;       // indicates write transfer
165
output  [3:0]            dwb_sel_o;      // byte select outputs
166
output                  dwb_cab_o;      // indicates consecutive address burst
167
output  [dw-1:0] dwb_dat_o;      // output data bus
168
 
169
//
170
// External Debug Interface
171
//
172
input                   dbg_stall_i;    // External Stall Input
173
input   [dw-1:0] dbg_dat_i;      // External Data Input
174
input   [aw-1:0] dbg_adr_i;      // External Address Input
175
input   [2:0]            dbg_op_i;       // External Operation Select Input
176
input                   dbg_ewt_i;      // External Watchpoint Trigger Input
177
output  [3:0]            dbg_lss_o;      // External Load/Store Unit Status
178
output  [1:0]            dbg_is_o;       // External Insn Fetch Status
179
output  [10:0]           dbg_wp_o;       // Watchpoints Outputs
180
output                  dbg_bp_o;       // Breakpoint Output
181
output  [dw-1:0] dbg_dat_o;      // External Data Output
182
 
183
//
184
// Power Management
185
//
186
input                   pm_cpustall_i;
187
output  [3:0]            pm_clksd_o;
188
output                  pm_dc_gate_o;
189
output                  pm_ic_gate_o;
190
output                  pm_dmmu_gate_o;
191
output                  pm_immu_gate_o;
192
output                  pm_tt_gate_o;
193
output                  pm_cpu_gate_o;
194
output                  pm_wakeup_o;
195
output                  pm_lvolt_o;
196
 
197
 
198
//
199
// Internal wires and regs
200
//
201
 
202
//
203
// DC to BIU
204
//
205
wire    [dw-1:0] dcbiu_dat_dc;
206
wire    [aw-1:0] dcbiu_adr_dc;
207
wire                    dcbiu_cyc_dc;
208
wire                    dcbiu_stb_dc;
209
wire                    dcbiu_we_dc;
210
wire    [3:0]            dcbiu_sel_dc;
211
wire    [3:0]            dcbiu_tag_dc;
212
wire    [dw-1:0] dcbiu_dat_biu;
213
wire                    dcbiu_ack_biu;
214
wire                    dcbiu_err_biu;
215
wire    [3:0]            dcbiu_tag_biu;
216
 
217
//
218
// IC to BIU
219
//
220
wire    [dw-1:0] icbiu_dat_ic;
221
wire    [aw-1:0] icbiu_adr_ic;
222
wire                    icbiu_cyc_ic;
223
wire                    icbiu_stb_ic;
224
wire                    icbiu_we_ic;
225
wire    [3:0]            icbiu_sel_ic;
226
wire    [3:0]            icbiu_tag_ic;
227
wire    [dw-1:0] icbiu_dat_biu;
228
wire                    icbiu_ack_biu;
229
wire                    icbiu_err_biu;
230
wire    [3:0]            icbiu_tag_biu;
231
 
232
//
233
// CPU's SPR access to various RISC units (shared wires)
234
//
235
wire                    supv;
236
wire    [aw-1:0] spr_addr;
237
wire    [dw-1:0] spr_dat_cpu;
238
wire    [31:0]           spr_cs;
239
wire                    spr_we;
240
 
241
//
242
// DMMU and CPU
243
//
244
wire                    dmmu_en;
245
wire    [31:0]           spr_dat_dmmu;
246
 
247
//
248
// DMMU and DC
249
//
250
wire                    dcdmmu_err_dc;
251
wire    [3:0]            dcdmmu_tag_dc;
252
wire    [aw-1:0] dcdmmu_adr_dmmu;
253 660 lampret
wire                    dcdmmu_cycstb_dmmu;
254 504 lampret
wire                    dcdmmu_ci_dmmu;
255
 
256
//
257
// CPU and data memory subsystem
258
//
259
wire                    dc_en;
260
wire    [31:0]           dcpu_adr_cpu;
261
wire                    dcpu_we_cpu;
262
wire    [3:0]            dcpu_sel_cpu;
263
wire    [3:0]            dcpu_tag_cpu;
264
wire    [31:0]           dcpu_dat_cpu;
265
wire    [31:0]           dcpu_dat_dc;
266
wire                    dcpu_ack_dc;
267
wire                    dcpu_rty_dc;
268
wire                    dcpu_err_dmmu;
269
wire    [3:0]            dcpu_tag_dmmu;
270
 
271
//
272
// IMMU and CPU
273
//
274
wire                    immu_en;
275
wire    [31:0]           spr_dat_immu;
276
 
277
//
278
// CPU and insn memory subsystem
279
//
280
wire                    ic_en;
281
wire    [31:0]           icpu_adr_cpu;
282 660 lampret
wire                    icpu_cycstb_cpu;
283 504 lampret
wire                    icpu_we_cpu;
284
wire    [3:0]            icpu_sel_cpu;
285
wire    [3:0]            icpu_tag_cpu;
286
wire    [31:0]           icpu_dat_ic;
287
wire                    icpu_ack_ic;
288
wire    [31:0]           icpu_adr_immu;
289
wire                    icpu_err_immu;
290
wire    [3:0]            icpu_tag_immu;
291
 
292
//
293
// IMMU and IC
294
//
295
wire    [aw-1:0] icimmu_adr_immu;
296 617 lampret
wire                    icimmu_rty_ic;
297 504 lampret
wire                    icimmu_err_ic;
298
wire    [3:0]            icimmu_tag_ic;
299 660 lampret
wire                    icimmu_cycstb_immu;
300 504 lampret
wire                    icimmu_ci_immu;
301
 
302
//
303
// Connection between CPU and PIC
304
//
305
wire    [dw-1:0] spr_dat_pic;
306
wire                    pic_wakeup;
307 589 lampret
wire                    sig_int;
308 504 lampret
 
309
//
310
// Connection between CPU and PM
311
//
312
wire    [dw-1:0] spr_dat_pm;
313
 
314
//
315
// CPU and TT
316
//
317
wire    [dw-1:0] spr_dat_tt;
318 589 lampret
wire                    sig_tick;
319 504 lampret
 
320
//
321
// Debug port and caches/MMUs
322
//
323
wire    [dw-1:0] spr_dat_du;
324
wire                    du_stall;
325
wire    [dw-1:0] du_addr;
326
wire    [dw-1:0] du_dat_du;
327
wire                    du_read;
328
wire                    du_write;
329
wire    [12:0]           du_except;
330
wire    [`OR1200_DU_DSR_WIDTH-1:0]     du_dsr;
331 636 lampret
wire    [dw-1:0] du_dat_cpu;
332 504 lampret
 
333
wire                    ex_freeze;
334
wire    [31:0]           ex_insn;
335
wire    [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
336
 
337
//
338
// Instantiation of Instruction WISHBONE BIU
339
//
340
or1200_wb_biu iwb_biu(
341
        // RISC clk, rst and clock control
342
        .clk(clk_i),
343
        .rst(rst_i),
344
        .clmode(clmode_i),
345
 
346
        // WISHBONE interface
347
        .wb_clk_i(iwb_clk_i),
348
        .wb_rst_i(iwb_rst_i),
349
        .wb_ack_i(iwb_ack_i),
350
        .wb_err_i(iwb_err_i),
351
        .wb_rty_i(iwb_rty_i),
352
        .wb_dat_i(iwb_dat_i),
353
        .wb_cyc_o(iwb_cyc_o),
354
        .wb_adr_o(iwb_adr_o),
355
        .wb_stb_o(iwb_stb_o),
356
        .wb_we_o(iwb_we_o),
357
        .wb_sel_o(iwb_sel_o),
358
        .wb_cab_o(iwb_cab_o),
359
        .wb_dat_o(iwb_dat_o),
360
 
361
        // Internal RISC bus
362
        .biu_dat_i(icbiu_dat_ic),
363
        .biu_adr_i(icbiu_adr_ic),
364
        .biu_cyc_i(icbiu_cyc_ic),
365
        .biu_stb_i(icbiu_stb_ic),
366
        .biu_we_i(icbiu_we_ic),
367
        .biu_sel_i(icbiu_sel_ic),
368
        .biu_cab_i(icbiu_cab_ic),
369
        .biu_dat_o(icbiu_dat_biu),
370
        .biu_ack_o(icbiu_ack_biu),
371
        .biu_err_o(icbiu_err_biu)
372
);
373
 
374
//
375
// Instantiation of Data WISHBONE BIU
376
//
377
or1200_wb_biu dwb_biu(
378
        // RISC clk, rst and clock control
379
        .clk(clk_i),
380
        .rst(rst_i),
381
        .clmode(clmode_i),
382
 
383
        // WISHBONE interface
384
        .wb_clk_i(dwb_clk_i),
385
        .wb_rst_i(dwb_rst_i),
386
        .wb_ack_i(dwb_ack_i),
387
        .wb_err_i(dwb_err_i),
388
        .wb_rty_i(dwb_rty_i),
389
        .wb_dat_i(dwb_dat_i),
390
        .wb_cyc_o(dwb_cyc_o),
391
        .wb_adr_o(dwb_adr_o),
392
        .wb_stb_o(dwb_stb_o),
393
        .wb_we_o(dwb_we_o),
394
        .wb_sel_o(dwb_sel_o),
395
        .wb_cab_o(dwb_cab_o),
396
        .wb_dat_o(dwb_dat_o),
397
 
398
        // Internal RISC bus
399
        .biu_dat_i(dcbiu_dat_dc),
400
        .biu_adr_i(dcbiu_adr_dc),
401
        .biu_cyc_i(dcbiu_cyc_dc),
402
        .biu_stb_i(dcbiu_stb_dc),
403
        .biu_we_i(dcbiu_we_dc),
404
        .biu_sel_i(dcbiu_sel_dc),
405
        .biu_cab_i(dcbiu_cab_dc),
406
        .biu_dat_o(dcbiu_dat_biu),
407
        .biu_ack_o(dcbiu_ack_biu),
408
        .biu_err_o(dcbiu_err_biu)
409
);
410
 
411
//
412
// Instantiation of IMMU
413
//
414
or1200_immu_top or1200_immu_top(
415
        // Rst and clk
416
        .clk(clk_i),
417
        .rst(rst_i),
418
 
419
        // CPU i/f
420
        .ic_en(ic_en),
421
        .immu_en(immu_en),
422
        .supv(supv),
423
        .icpu_adr_i(icpu_adr_cpu),
424 660 lampret
        .icpu_cycstb_i(icpu_cycstb_cpu),
425 504 lampret
        .icpu_adr_o(icpu_adr_immu),
426
        .icpu_tag_o(icpu_tag_immu),
427 617 lampret
        .icpu_rty_o(icpu_rty_immu),
428 504 lampret
        .icpu_err_o(icpu_err_immu),
429
 
430
        // SPR access
431
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IMMU]),
432
        .spr_write(spr_we),
433
        .spr_addr(spr_addr),
434
        .spr_dat_i(spr_dat_cpu),
435
        .spr_dat_o(spr_dat_immu),
436
 
437
        // IC i/f
438 617 lampret
        .icimmu_rty_i(icimmu_rty_ic),
439 504 lampret
        .icimmu_err_i(icimmu_err_ic),
440
        .icimmu_tag_i(icimmu_tag_ic),
441
        .icimmu_adr_o(icimmu_adr_immu),
442 660 lampret
        .icimmu_cycstb_o(icimmu_cycstb_immu),
443 504 lampret
        .icimmu_ci_o(icimmu_ci_immu)
444
);
445
 
446
//
447
// Instantiation of Instruction Cache
448
//
449
or1200_ic_top or1200_ic_top(
450
        .clk(clk_i),
451
        .rst(rst_i),
452
 
453
        // IC and CPU/IMMU
454
        .ic_en(ic_en),
455
        .icimmu_adr_i(icimmu_adr_immu),
456 660 lampret
        .icimmu_cycstb_i(icimmu_cycstb_immu),
457 504 lampret
        .icimmu_ci_i(icimmu_ci_immu),
458
        .icpu_we_i(icpu_we_cpu),
459
        .icpu_sel_i(icpu_sel_cpu),
460
        .icpu_tag_i(icpu_tag_cpu),
461
        .icpu_dat_o(icpu_dat_ic),
462
        .icpu_ack_o(icpu_ack_ic),
463 617 lampret
        .icimmu_rty_o(icimmu_rty_ic),
464 504 lampret
        .icimmu_err_o(icimmu_err_ic),
465
        .icimmu_tag_o(icimmu_tag_ic),
466
 
467
        // SPR access
468
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IC]),
469
        .spr_write(spr_we),
470
        .spr_dat_i(spr_dat_cpu),
471
 
472
        // IC and BIU
473
        .icbiu_dat_o(icbiu_dat_ic),
474
        .icbiu_adr_o(icbiu_adr_ic),
475
        .icbiu_cyc_o(icbiu_cyc_ic),
476
        .icbiu_stb_o(icbiu_stb_ic),
477
        .icbiu_we_o(icbiu_we_ic),
478
        .icbiu_sel_o(icbiu_sel_ic),
479
        .icbiu_cab_o(icbiu_cab_ic),
480
        .icbiu_dat_i(icbiu_dat_biu),
481
        .icbiu_ack_i(icbiu_ack_biu),
482
        .icbiu_err_i(icbiu_err_biu)
483
);
484
 
485
//
486
// Instantiation of Instruction Cache
487
//
488
or1200_cpu or1200_cpu(
489
        .clk(clk_i),
490
        .rst(rst_i),
491
 
492
        // Connection IC and IFETCHER inside CPU
493
        .ic_en(ic_en),
494
        .icpu_adr_o(icpu_adr_cpu),
495 660 lampret
        .icpu_cycstb_o(icpu_cycstb_cpu),
496 504 lampret
        .icpu_we_o(icpu_we_cpu),
497
        .icpu_sel_o(icpu_sel_cpu),
498
        .icpu_tag_o(icpu_tag_cpu),
499
        .icpu_dat_i(icpu_dat_ic),
500
        .icpu_ack_i(icpu_ack_ic),
501 617 lampret
        .icpu_rty_i(icpu_rty_immu),
502 504 lampret
        .icpu_adr_i(icpu_adr_immu),
503
        .icpu_err_i(icpu_err_immu),
504
        .icpu_tag_i(icpu_tag_immu),
505
 
506
        // Connection CPU to external Debug port
507
        .ex_freeze(ex_freeze),
508
        .ex_insn(ex_insn),
509
        .branch_op(branch_op),
510
        .du_stall(du_stall),
511
        .du_addr(du_addr),
512
        .du_dat_du(du_dat_du),
513
        .du_read(du_read),
514
        .du_write(du_write),
515
        .du_dsr(du_dsr),
516
        .du_except(du_except),
517 636 lampret
        .du_dat_cpu(du_dat_cpu),
518 504 lampret
 
519
        // Connection IMMU and CPU internally
520
        .immu_en(immu_en),
521
 
522
        // Connection DC and CPU
523
        .dc_en(dc_en),
524
        .dcpu_adr_o(dcpu_adr_cpu),
525 660 lampret
        .dcpu_cycstb_o(dcpu_cycstb_cpu),
526 504 lampret
        .dcpu_we_o(dcpu_we_cpu),
527
        .dcpu_sel_o(dcpu_sel_cpu),
528
        .dcpu_tag_o(dcpu_tag_cpu),
529
        .dcpu_dat_o(dcpu_dat_cpu),
530
        .dcpu_dat_i(dcpu_dat_dc),
531
        .dcpu_ack_i(dcpu_ack_dc),
532
        .dcpu_rty_i(dcpu_rty_dc),
533
        .dcpu_err_i(dcpu_err_dmmu),
534
        .dcpu_tag_i(dcpu_tag_dmmu),
535
 
536
        // Connection DMMU and CPU internally
537
        .dmmu_en(dmmu_en),
538
 
539
        // Connection PIC and CPU's EXCEPT
540 589 lampret
        .sig_int(sig_int),
541
        .sig_tick(sig_tick),
542 504 lampret
 
543
        // SPRs
544
        .supv(supv),
545
        .spr_addr(spr_addr),
546 636 lampret
        .spr_dat_cpu(spr_dat_cpu),
547 504 lampret
        .spr_dat_pic(spr_dat_pic),
548
        .spr_dat_tt(spr_dat_tt),
549
        .spr_dat_pm(spr_dat_pm),
550
        .spr_dat_dmmu(spr_dat_dmmu),
551
        .spr_dat_immu(spr_dat_immu),
552
        .spr_dat_du(spr_dat_du),
553
        .spr_cs(spr_cs),
554
        .spr_we(spr_we)
555
);
556
 
557
//
558
// Instantiation of DMMU
559
//
560
or1200_dmmu_top or1200_dmmu_top(
561
        // Rst and clk
562
        .clk(clk_i),
563
        .rst(rst_i),
564
 
565
        // CPU i/f
566
        .dc_en(dc_en),
567
        .dmmu_en(dmmu_en),
568
        .supv(supv),
569
        .dcpu_adr_i(dcpu_adr_cpu),
570 660 lampret
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
571 504 lampret
        .dcpu_we_i(dcpu_we_cpu),
572
        .dcpu_tag_o(dcpu_tag_dmmu),
573
        .dcpu_err_o(dcpu_err_dmmu),
574
 
575
        // SPR access
576
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DMMU]),
577
        .spr_write(spr_we),
578
        .spr_addr(spr_addr),
579
        .spr_dat_i(spr_dat_cpu),
580
        .spr_dat_o(spr_dat_dmmu),
581
 
582
        // DC i/f
583
        .dcdmmu_err_i(dcdmmu_err_dc),
584
        .dcdmmu_tag_i(dcdmmu_tag_dc),
585
        .dcdmmu_adr_o(dcdmmu_adr_dmmu),
586 660 lampret
        .dcdmmu_cycstb_o(dcdmmu_cycstb_dmmu),
587 504 lampret
        .dcdmmu_ci_o(dcdmmu_ci_dmmu)
588
);
589
 
590
//
591
// Instantiation of Data Cache
592
//
593
or1200_dc_top or1200_dc_top(
594
        .clk(clk_i),
595
        .rst(rst_i),
596
 
597
        // DC and CPU/DMMU
598
        .dc_en(dc_en),
599
        .dcdmmu_adr_i(dcdmmu_adr_dmmu),
600 660 lampret
        .dcdmmu_cycstb_i(dcdmmu_cycstb_dmmu),
601 504 lampret
        .dcdmmu_ci_i(dcdmmu_ci_dmmu),
602
        .dcpu_we_i(dcpu_we_cpu),
603
        .dcpu_sel_i(dcpu_sel_cpu),
604
        .dcpu_tag_i(dcpu_tag_cpu),
605
        .dcpu_dat_i(dcpu_dat_cpu),
606
        .dcpu_dat_o(dcpu_dat_dc),
607
        .dcpu_ack_o(dcpu_ack_dc),
608
        .dcpu_rty_o(dcpu_rty_dc),
609
        .dcdmmu_err_o(dcdmmu_err_dc),
610
        .dcdmmu_tag_o(dcdmmu_tag_dc),
611
 
612
        // SPR access
613
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DC]),
614
        .spr_write(spr_we),
615
        .spr_dat_i(spr_dat_cpu),
616
 
617
        // DC and BIU
618
        .dcbiu_dat_o(dcbiu_dat_dc),
619
        .dcbiu_adr_o(dcbiu_adr_dc),
620
        .dcbiu_cyc_o(dcbiu_cyc_dc),
621
        .dcbiu_stb_o(dcbiu_stb_dc),
622
        .dcbiu_we_o(dcbiu_we_dc),
623
        .dcbiu_sel_o(dcbiu_sel_dc),
624
        .dcbiu_cab_o(dcbiu_cab_dc),
625
        .dcbiu_dat_i(dcbiu_dat_biu),
626
        .dcbiu_ack_i(dcbiu_ack_biu),
627
        .dcbiu_err_i(dcbiu_err_biu)
628
);
629
 
630
//
631
// Instantiation of Debug Unit
632
//
633
or1200_du or1200_du(
634
        // RISC Internal Interface
635
        .clk(clk_i),
636
        .rst(rst_i),
637 660 lampret
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
638 504 lampret
        .dcpu_we_i(dcpu_we_cpu),
639 660 lampret
        .icpu_cycstb_i(icpu_cycstb_cpu),
640 504 lampret
        .ex_freeze(ex_freeze),
641
        .branch_op(branch_op),
642
        .ex_insn(ex_insn),
643
        .du_dsr(du_dsr),
644
 
645
        // DU's access to SPR unit
646
        .du_stall(du_stall),
647
        .du_addr(du_addr),
648 636 lampret
        .du_dat_i(du_dat_cpu),
649 504 lampret
        .du_dat_o(du_dat_du),
650
        .du_read(du_read),
651
        .du_write(du_write),
652
        .du_except(du_except),
653
 
654
        // Access to DU's SPRs
655
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DU]),
656
        .spr_write(spr_we),
657
        .spr_addr(spr_addr),
658
        .spr_dat_i(spr_dat_cpu),
659
        .spr_dat_o(spr_dat_du),
660
 
661
        // External Debug Interface
662
        .dbg_stall_i(dbg_stall_i),
663
        .dbg_dat_i(dbg_dat_i),
664
        .dbg_adr_i(dbg_adr_i),
665
        .dbg_op_i(dbg_op_i),
666
        .dbg_ewt_i(dbg_ewt_i),
667
        .dbg_lss_o(dbg_lss_o),
668
        .dbg_is_o(dbg_is_o),
669
        .dbg_wp_o(dbg_wp_o),
670
        .dbg_bp_o(dbg_bp_o),
671
        .dbg_dat_o(dbg_dat_o)
672
);
673
 
674
//
675
// Programmable interrupt controller
676
//
677
or1200_pic or1200_pic(
678
        // RISC Internal Interface
679
        .clk(clk_i),
680
        .rst(rst_i),
681
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_PIC]),
682
        .spr_write(spr_we),
683
        .spr_addr(spr_addr),
684
        .spr_dat_i(spr_dat_cpu),
685
        .spr_dat_o(spr_dat_pic),
686
        .pic_wakeup(pic_wakeup),
687 589 lampret
        .int(sig_int),
688 504 lampret
 
689
        // PIC Interface
690
        .pic_int(pic_ints_i)
691
);
692
 
693
//
694
// Instantiation of Tick timer
695
//
696
or1200_tt or1200_tt(
697
        // RISC Internal Interface
698
        .clk(clk_i),
699
        .rst(rst_i),
700 617 lampret
        .du_stall(du_stall),
701 504 lampret
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_TT]),
702
        .spr_write(spr_we),
703
        .spr_addr(spr_addr),
704
        .spr_dat_i(spr_dat_cpu),
705
        .spr_dat_o(spr_dat_tt),
706 589 lampret
        .int(sig_tick)
707 504 lampret
);
708
 
709
//
710
// Instantiation of Power Management
711
//
712
or1200_pm or1200_pm(
713
        // RISC Internal Interface
714
        .clk(clk_i),
715
        .rst(rst_i),
716
        .pic_wakeup(pic_wakeup),
717
        .spr_write(spr_we),
718
        .spr_addr(spr_addr),
719
        .spr_dat_i(spr_dat_cpu),
720
        .spr_dat_o(spr_dat_pm),
721
 
722
        // Power Management Interface
723
        .pm_cpustall(pm_cpustall_i),
724
        .pm_clksd(pm_clksd_o),
725
        .pm_dc_gate(pm_dc_gate_o),
726
        .pm_ic_gate(pm_ic_gate_o),
727
        .pm_dmmu_gate(pm_dmmu_gate_o),
728
        .pm_immu_gate(pm_immu_gate_o),
729
        .pm_tt_gate(pm_tt_gate_o),
730
        .pm_cpu_gate(pm_cpu_gate_o),
731
        .pm_wakeup(pm_wakeup_o),
732
        .pm_lvolt(pm_lvolt_o)
733
);
734
 
735
 
736
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.