OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_tpram_32x32.v] - Blame information for rev 1129

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Two-Port Synchronous RAM                            ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common two-port                ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  two-port synchronous RAM.                                   ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Double-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage 2-port Sync RAM                                    ////
22
////                                                              ////
23
////  Supported FPGA RAMs are:                                    ////
24
////  - Xilinx Virtex RAMB4_S16_S16                               ////
25 1129 lampret
////  - Altera LPM                                                ////
26 504 lampret
////                                                              ////
27
////  To Do:                                                      ////
28
////   - fix Avant!                                               ////
29
////   - xilinx rams need external tri-state logic                ////
30 1129 lampret
////   - add additional RAMs (VS etc)                             ////
31 504 lampret
////                                                              ////
32
////  Author(s):                                                  ////
33
////      - Damjan Lampret, lampret@opencores.org                 ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
38
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 1129 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.7  2001/10/21 17:57:16  lampret
69
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
70
//
71
// Revision 1.6  2001/10/14 13:12:09  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
75
// no message
76
//
77
// Revision 1.1  2001/08/09 13:39:33  lampret
78
// Major clean-up.
79
//
80
// Revision 1.2  2001/07/30 05:38:02  lampret
81
// Adding empty directories required by HDL coding guidelines
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
module or1200_tpram_32x32(
91
        // Generic synchronous two-port RAM interface
92
        clk_a, rst_a, ce_a, we_a, oe_a, addr_a, di_a, do_a,
93
        clk_b, rst_b, ce_b, we_b, oe_b, addr_b, di_b, do_b
94
);
95
 
96
//
97
// Default address and data buses width
98
//
99
parameter aw = 5;
100
parameter dw = 32;
101
 
102
//
103
// Generic synchronous two-port RAM interface
104
//
105
input                   clk_a;  // Clock
106
input                   rst_a;  // Reset
107
input                   ce_a;   // Chip enable input
108
input                   we_a;   // Write enable input
109
input                   oe_a;   // Output enable input
110
input   [aw-1:0] addr_a; // address bus inputs
111
input   [dw-1:0] di_a;   // input data bus
112
output  [dw-1:0] do_a;   // output data bus
113
input                   clk_b;  // Clock
114
input                   rst_b;  // Reset
115
input                   ce_b;   // Chip enable input
116
input                   we_b;   // Write enable input
117
input                   oe_b;   // Output enable input
118
input   [aw-1:0] addr_b; // address bus inputs
119
input   [dw-1:0] di_b;   // input data bus
120
output  [dw-1:0] do_b;   // output data bus
121
 
122
//
123
// Internal wires and registers
124
//
125
 
126
 
127
`ifdef OR1200_ARTISAN_SDP
128
 
129
//
130
// Instantiation of ASIC memory:
131
//
132
// Artisan Synchronous Double-Port RAM (ra2sh)
133
//
134
`ifdef UNUSED
135
art_hsdp_32x32 #(dw, 1<<aw, aw) artisan_sdp(
136
`else
137
art_hsdp_32x32 artisan_sdp(
138
`endif
139
        .qa(do_a),
140
        .clka(clk_a),
141
        .cena(~ce_a),
142
        .wena(~we_a),
143
        .aa(addr_a),
144
        .da(di_a),
145
        .oena(~oe_a),
146
        .qb(do_b),
147
        .clkb(clk_b),
148
        .cenb(~ce_b),
149
        .wenb(~we_b),
150
        .ab(addr_b),
151
        .db(di_b),
152
        .oenb(~oe_b)
153
);
154
 
155
`else
156
 
157
`ifdef OR1200_AVANT_ATP
158
 
159
//
160
// Instantiation of ASIC memory:
161
//
162
// Avant! Asynchronous Two-Port RAM
163
//
164
avant_atp avant_atp(
165
        .web(~we),
166
        .reb(),
167
        .oeb(~oe),
168
        .rcsb(),
169
        .wcsb(),
170
        .ra(addr),
171
        .wa(addr),
172
        .di(di),
173
        .do(do)
174
);
175
 
176
`else
177
 
178
`ifdef OR1200_VIRAGE_STP
179
 
180
//
181
// Instantiation of ASIC memory:
182
//
183
// Virage Synchronous 2-port R/W RAM
184
//
185
virage_stp virage_stp(
186
        .QA(do_a),
187
        .QB(do_b),
188
 
189
        .ADRA(addr_a),
190
        .DA(di_a),
191
        .WEA(we_a),
192
        .OEA(oe_a),
193
        .MEA(ce_a),
194
        .CLKA(clk_a),
195
 
196
        .ADRB(adr_b),
197
        .DB(di_b),
198
        .WEB(we_b),
199
        .OEB(oe_b),
200
        .MEB(ce_b),
201
        .CLKB(clk_b)
202
);
203
 
204
`else
205
 
206
`ifdef OR1200_XILINX_RAMB4
207
 
208
//
209
// Instantiation of FPGA memory:
210
//
211
// Virtex/Spartan2
212
//
213
 
214
//
215
// Block 0
216
//
217
RAMB4_S16_S16 ramb4_s16_s16_0(
218
        .CLKA(clk_a),
219
        .RSTA(rst_a),
220
        .ADDRA(addr_a),
221
        .DIA(di_a[15:0]),
222
        .ENA(ce_a),
223
        .WEA(we_a),
224
        .DOA(do_a[15:0]),
225
 
226
        .CLKB(clk_b),
227
        .RSTB(rst_b),
228
        .ADDRB(addr_b),
229
        .DIB(di_b[15:0]),
230
        .ENB(ce_b),
231
        .WEB(we_b),
232
        .DOB(do_b[15:0])
233
);
234
 
235
//
236
// Block 1
237
//
238
RAMB4_S16_S16 ramb4_s16_s16_1(
239
        .CLKA(clk_a),
240
        .RSTA(rst_a),
241
        .ADDRA(addr_a),
242
        .DIA(di_a[31:16]),
243
        .ENA(ce_a),
244
        .WEA(we_a),
245
        .DOA(do_a[31:16]),
246
 
247
        .CLKB(clk_b),
248
        .RSTB(rst_b),
249
        .ADDRB(addr_b),
250
        .DIB(di_b[31:16]),
251
        .ENB(ce_b),
252
        .WEB(we_b),
253
        .DOB(do_b[31:16])
254
);
255
 
256
`else
257
 
258 1129 lampret
`ifdef OR1200_ALTERA_LPM
259
 
260 504 lampret
//
261 1129 lampret
// Instantiation of FPGA memory:
262
//
263
// Altera LPM
264
//
265
// Added By Jamil Khatib
266
//
267
altqpram altqpram_component (
268
        .wraddress_a (addr_a),
269
        .inclocken_a (ce_a),
270
        .wraddress_b (addr_b),
271
        .wren_a (we_a),
272
        .inclocken_b (ce_b),
273
        .wren_b (we_b),
274
        .inaclr_a (rst_a),
275
        .inaclr_b (rst_b),
276
        .inclock_a (clk_a),
277
        .inclock_b (clk_b),
278
        .data_a (di_a),
279
        .data_b (di_b),
280
        .q_a (do_a),
281
        .q_b (do_b)
282
);
283
 
284
defparam altqpram_component.operation_mode = "BIDIR_DUAL_PORT",
285
        altqpram_component.width_write_a = dw,
286
        altqpram_component.widthad_write_a = aw,
287
        altqpram_component.numwords_write_a = dw,
288
        altqpram_component.width_read_a = dw,
289
        altqpram_component.widthad_read_a = aw,
290
        altqpram_component.numwords_read_a = dw,
291
        altqpram_component.width_write_b = dw,
292
        altqpram_component.widthad_write_b = aw,
293
        altqpram_component.numwords_write_b = dw,
294
        altqpram_component.width_read_b = dw,
295
        altqpram_component.widthad_read_b = aw,
296
        altqpram_component.numwords_read_b = dw,
297
        altqpram_component.indata_reg_a = "INCLOCK_A",
298
        altqpram_component.wrcontrol_wraddress_reg_a = "INCLOCK_A",
299
        altqpram_component.outdata_reg_a = "INCLOCK_A",
300
        altqpram_component.indata_reg_b = "INCLOCK_B",
301
        altqpram_component.wrcontrol_wraddress_reg_b = "INCLOCK_B",
302
        altqpram_component.outdata_reg_b = "INCLOCK_B",
303
        altqpram_component.indata_aclr_a = "INACLR_A",
304
        altqpram_component.wraddress_aclr_a = "INACLR_A",
305
        altqpram_component.wrcontrol_aclr_a = "INACLR_A",
306
        altqpram_component.outdata_aclr_a = "INACLR_A",
307
        altqpram_component.indata_aclr_b = "NONE",
308
        altqpram_component.wraddress_aclr_b = "NONE",
309
        altqpram_component.wrcontrol_aclr_b = "NONE",
310
        altqpram_component.outdata_aclr_b = "INACLR_B",
311
        altqpram_component.lpm_hint = "USE_ESB=ON";
312
        //examplar attribute altqpram_component NOOPT TRUE
313
 
314
`else
315
 
316
//
317 504 lampret
// Generic two-port synchronous RAM model
318
//
319
 
320
//
321
// Generic RAM's registers and wires
322
//
323
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
324
reg     [dw-1:0] do_reg_a;               // RAM data output register
325
reg     [dw-1:0] do_reg_b;               // RAM data output register
326
 
327
//
328
// Data output drivers
329
//
330 1129 lampret
assign do_a = (oe_a) ? do_reg_a : {dw{1'b0}};
331
assign do_b = (oe_b) ? do_reg_b : {dw{1'b0}};
332 504 lampret
 
333
//
334
// RAM read and write
335
//
336
always @(posedge clk_a)
337
        if (ce_a && !we_a)
338
                do_reg_a <= #1 mem[addr_a];
339
        else if (ce_a && we_a)
340
                mem[addr_a] <= #1 di_a;
341
 
342
//
343
// RAM read and write
344
//
345
always @(posedge clk_b)
346
        if (ce_b && !we_b)
347
                do_reg_b <= #1 mem[addr_b];
348
        else if (ce_b && we_b)
349
                mem[addr_b] <= #1 di_b;
350
 
351 1129 lampret
`endif  // !OR1200_ALTERA_LPM
352 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16_S16
353
`endif  // !OR1200_VIRAGE_STP
354
`endif  // !OR1200_AVANT_ATP
355
`endif  // !OR1200_ARTISAN_SDP
356
 
357
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.