OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_tt.v] - Blame information for rev 1778

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Tick Timer                                         ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  TT according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 788 lampret
// Revision 1.3  2002/02/12 01:33:47  lampret
48
// No longer using async rst as sync reset for the counter.
49
//
50 663 lampret
// Revision 1.2  2002/01/28 01:16:00  lampret
51
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
52
//
53 617 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56 504 lampret
// Revision 1.10  2001/11/13 10:00:49  lampret
57
// Fixed tick timer interrupt reporting by using TTCR[IP] bit.
58
//
59
// Revision 1.9  2001/11/10 03:43:57  lampret
60
// Fixed exceptions.
61
//
62
// Revision 1.8  2001/10/21 17:57:16  lampret
63
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
64
//
65
// Revision 1.7  2001/10/14 13:12:10  lampret
66
// MP3 version.
67
//
68
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
69
// no message
70
//
71
// Revision 1.2  2001/08/09 13:39:33  lampret
72
// Major clean-up.
73
//
74
// Revision 1.1  2001/07/20 00:46:23  lampret
75
// Development version of RTL. Libraries are missing.
76
//
77
//
78
 
79
// synopsys translate_off
80
`include "timescale.v"
81
// synopsys translate_on
82
`include "or1200_defines.v"
83
 
84
module or1200_tt(
85
        // RISC Internal Interface
86 617 lampret
        clk, rst, du_stall,
87
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
88 504 lampret
        int
89
);
90
 
91
//
92
// RISC Internal Interface
93
//
94
input           clk;            // Clock
95
input           rst;            // Reset
96 617 lampret
input           du_stall;       // DU stall
97 504 lampret
input           spr_cs;         // SPR CS
98
input           spr_write;      // SPR Write
99
input   [31:0]   spr_addr;       // SPR Address
100
input   [31:0]   spr_dat_i;      // SPR Write Data
101
output  [31:0]   spr_dat_o;      // SPR Read Data
102
output          int;            // Interrupt output
103
 
104
`ifdef OR1200_TT_IMPLEMENTED
105
 
106
//
107
// TT Mode Register bits (or no register)
108
//
109
`ifdef OR1200_TT_TTMR
110
reg     [31:0]   ttmr;   // TTMR bits
111
`else
112
wire    [31:0]   ttmr;   // No TTMR register
113
`endif
114
 
115
//
116
// TT Count Register bits (or no register)
117
//
118
`ifdef OR1200_TT_TTCR
119
reg     [31:0]   ttcr;   // TTCR bits
120
`else
121
wire    [31:0]   ttcr;   // No TTCR register
122
`endif
123
 
124
//
125
// Internal wires & regs
126
//
127
wire            ttmr_sel;       // TTMR select
128
wire            ttcr_sel;       // TTCR select
129
wire            match;          // Asserted when TTMR[TP]
130
                                // is equal to TTCR[27:0]
131
wire            restart;        // Restart counter when asserted
132
wire            stop;           // Stop counter when asserted
133
reg     [31:0]   spr_dat_o;      // SPR data out
134
 
135
//
136
// TT registers address decoder
137
//
138
assign ttmr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTMR)) ? 1'b1 : 1'b0;
139
assign ttcr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTCR)) ? 1'b1 : 1'b0;
140
 
141
//
142
// Write to TTMR or update of TTMR[IP] bit
143
//
144
`ifdef OR1200_TT_TTMR
145
always @(posedge clk or posedge rst)
146
        if (rst)
147
                ttmr <= 32'b0;
148
        else if (ttmr_sel && spr_write)
149
                ttmr <= #1 spr_dat_i;
150
        else if (ttmr[`OR1200_TT_TTMR_IE])
151
                ttmr[`OR1200_TT_TTMR_IP] <= #1 ttmr[`OR1200_TT_TTMR_IP] | (match & ttmr[`OR1200_TT_TTMR_IE]);
152
`else
153
assign ttmr = {2'b11, 30'b0};    // TTMR[M] = 0x3
154
`endif
155
 
156
//
157
// Write to or increment of TTCR
158
//
159
`ifdef OR1200_TT_TTCR
160
always @(posedge clk or posedge rst)
161
        if (rst)
162
                ttcr <= 32'b0;
163
        else if (restart)
164
                ttcr <= #1 32'b0;
165
        else if (ttcr_sel && spr_write)
166
                ttcr <= #1 spr_dat_i;
167
        else if (!stop)
168
                ttcr <= #1 ttcr + 1'd1;
169
`else
170
assign ttcr = 32'b0;
171
`endif
172
 
173
//
174
// Read TT registers
175
//
176
always @(spr_addr or ttmr or ttcr)
177 788 lampret
        case (spr_addr[`OR1200_TTOFS_BITS])     // synopsys parallel_case
178 504 lampret
`ifdef OR1200_TT_READREGS
179
                `OR1200_TT_OFS_TTMR: spr_dat_o = ttmr;
180
`endif
181
                default: spr_dat_o = ttcr;
182
        endcase
183
 
184
//
185
// A match when TTMR[TP] is equal to TTCR[27:0]
186
//
187
assign match = (ttmr[`OR1200_TT_TTMR_TP] == ttcr[27:0]) ? 1'b1 : 1'b0;
188
 
189
//
190 663 lampret
// Restart when match and TTMR[M]==0x1
191 504 lampret
//
192 663 lampret
assign restart = match && (ttmr[`OR1200_TT_TTMR_M] == 2'b01);
193 504 lampret
 
194
//
195 617 lampret
// Stop when match and TTMR[M]==0x2 or when TTMR[M]==0x0 or when RISC is stalled by debug unit
196 504 lampret
//
197 617 lampret
assign stop = match & (ttmr[`OR1200_TT_TTMR_M] == 2'b10) | (ttmr[`OR1200_TT_TTMR_M] == 2'b00) | du_stall;
198 504 lampret
 
199
//
200
// Generate an interrupt request
201
//
202
assign int = ttmr[`OR1200_TT_TTMR_IP];
203
 
204
`else
205
 
206
//
207
// When TT is not implemented, drive all outputs as would when TT is disabled
208
//
209
assign int = 1'b0;
210
 
211
//
212
// Read TT registers
213
//
214
`ifdef OR1200_TT_READREGS
215
assign spr_dat_o = 32'b0;
216
`endif
217
 
218
`endif
219
 
220
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.