OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_21/] [or1200/] [rtl/] [verilog/] [or1200_wbmux.v] - Blame information for rev 504

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Write-back Mux                                     ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  CPU's write-back stage of the pipeline                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.8  2001/10/21 17:57:16  lampret
48
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
49
//
50
// Revision 1.7  2001/10/14 13:12:10  lampret
51
// MP3 version.
52
//
53
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
54
// no message
55
//
56
// Revision 1.2  2001/08/09 13:39:33  lampret
57
// Major clean-up.
58
//
59
// Revision 1.1  2001/07/20 00:46:23  lampret
60
// Development version of RTL. Libraries are missing.
61
//
62
//
63
 
64
// synopsys translate_off
65
`include "timescale.v"
66
// synopsys translate_on
67
`include "or1200_defines.v"
68
 
69
module or1200_wbmux(
70
        // Clock and reset
71
        clk, rst,
72
 
73
        // Internal i/f
74
        wb_freeze, rfwb_op,
75
        muxin_a, muxin_b, muxin_c, muxin_d,
76
        muxout, muxreg, muxreg_valid
77
);
78
 
79
parameter width = `OR1200_OPERAND_WIDTH;
80
 
81
//
82
// I/O
83
//
84
 
85
//
86
// Clock and reset
87
//
88
input                           clk;
89
input                           rst;
90
 
91
//
92
// Internal i/f
93
//
94
input                           wb_freeze;
95
input   [`OR1200_RFWBOP_WIDTH-1:0]       rfwb_op;
96
input   [width-1:0]              muxin_a;
97
input   [width-1:0]              muxin_b;
98
input   [width-1:0]              muxin_c;
99
input   [width-1:0]              muxin_d;
100
output  [width-1:0]              muxout;
101
output  [width-1:0]              muxreg;
102
output                          muxreg_valid;
103
 
104
//
105
// Internal wires and regs
106
//
107
reg     [width-1:0]              muxout;
108
reg     [width-1:0]              muxreg;
109
reg                             muxreg_valid;
110
 
111
//
112
// Registered output from the write-back multiplexer
113
//
114
always @(posedge clk or posedge rst) begin
115
        if (rst) begin
116
                muxreg <= #1 32'd0;
117
                muxreg_valid <= #1 1'b0;
118
        end
119
        else if (!wb_freeze) begin
120
                muxreg <= #1 muxout;
121
                muxreg_valid <= #1 rfwb_op[0];
122
        end
123
end
124
 
125
//
126
// Write-back multiplexer
127
//
128
always @(muxin_a or muxin_b or muxin_c or muxin_d or rfwb_op) begin
129
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys full_case parallel_case infer_mux
130
                2'b00: muxout = muxin_a;
131
                2'b01: begin
132
                        muxout = muxin_b;
133
`ifdef OR1200_VERBOSE
134
// synopsys translate_off
135
                        $display("  WBMUX: muxin_b %h", muxin_b);
136
// synopsys translate_on
137
`endif
138
                end
139
                2'b10: begin
140
                        muxout = muxin_c;
141
`ifdef OR1200_VERBOSE
142
// synopsys translate_off
143
                        $display("  WBMUX: muxin_c %h", muxin_c);
144
// synopsys translate_on
145
`endif
146
                end
147
                2'b11: begin
148
                        muxout = muxin_d + 4'h8;
149
`ifdef OR1200_VERBOSE
150
// synopsys translate_off
151
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
152
// synopsys translate_on
153
`endif
154
                end
155
        endcase
156
end
157
 
158
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.