OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_23/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 1210 lampret
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
48
// Added embedded memory QMEM.
49
//
50 1171 lampret
// Revision 1.6  2002/07/31 02:04:35  lampret
51
// MAC now follows software convention (signed multiply instead of unsigned).
52
//
53 916 lampret
// Revision 1.5  2002/07/14 22:17:17  lampret
54
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
55
//
56 895 lampret
// Revision 1.4  2002/03/29 15:16:55  lampret
57
// Some of the warnings fixed.
58
//
59 788 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
60
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
61
//
62 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
63
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
64
//
65 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.10  2001/11/13 10:02:21  lampret
69
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
70
//
71
// Revision 1.9  2001/10/21 17:57:16  lampret
72
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
73
//
74
// Revision 1.8  2001/10/19 23:28:46  lampret
75
// Fixed some synthesis warnings. Configured with caches and MMUs.
76
//
77
// Revision 1.7  2001/10/14 13:12:09  lampret
78
// MP3 version.
79
//
80
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
81
// no message
82
//
83
// Revision 1.2  2001/08/09 13:39:33  lampret
84
// Major clean-up.
85
//
86
// Revision 1.1  2001/07/20 00:46:03  lampret
87
// Development version of RTL. Libraries are missing.
88
//
89
//
90
 
91
// synopsys translate_off
92
`include "timescale.v"
93
// synopsys translate_on
94
`include "or1200_defines.v"
95
 
96
`define OR1200_NO_FREEZE        3'd0
97
`define OR1200_FREEZE_BYDC      3'd1
98
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
99
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
100
`define OR1200_WAIT_IC                  3'd4
101
 
102
//
103
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
104
//
105
module or1200_freeze(
106
        // Clock and reset
107
        clk, rst,
108
 
109
        // Internal i/f
110
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
111
        lsu_unstall, du_stall, mac_stall,
112 617 lampret
        force_dslot_fetch, abort_ex,
113 895 lampret
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
114
        icpu_ack_i, icpu_err_i
115 504 lampret
);
116
 
117
//
118
// I/O
119
//
120
input                           clk;
121
input                           rst;
122
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
123
input                           flushpipe;
124
input                           extend_flush;
125
input                           lsu_stall;
126
input                           if_stall;
127
input                           lsu_unstall;
128
input                           force_dslot_fetch;
129 617 lampret
input                           abort_ex;
130 504 lampret
input                           du_stall;
131
input                           mac_stall;
132 562 lampret
output                          genpc_freeze;
133 504 lampret
output                          if_freeze;
134
output                          id_freeze;
135
output                          ex_freeze;
136
output                          wb_freeze;
137 895 lampret
input                           icpu_ack_i;
138
input                           icpu_err_i;
139 504 lampret
 
140
//
141
// Internal wires and regs
142
//
143
wire                            multicycle_freeze;
144 788 lampret
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
145 895 lampret
reg                             flushpipe_r;
146 504 lampret
 
147
//
148
// Pipeline freeze
149
//
150
// Rules how to create freeze signals:
151
// 1. Not overwriting pipeline stages:
152
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
153
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
154
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
155
//
156
// 2. Inserting NOPs in the middle of pipeline only if supported:
157
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
158
// This way NOP is asserted from stage ID into EX stage.
159
//
160 1210 lampret
//assign genpc_freeze = du_stall | flushpipe_r | lsu_stall;
161 895 lampret
assign genpc_freeze = du_stall | flushpipe_r;
162 504 lampret
assign if_freeze = id_freeze | extend_flush;
163
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
164 916 lampret
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall | mac_stall;
165 504 lampret
assign ex_freeze = wb_freeze;
166
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
167 617 lampret
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
168 504 lampret
 
169
//
170 895 lampret
// registered flushpipe
171
//
172
always @(posedge clk or posedge rst)
173
        if (rst)
174
                flushpipe_r <= #1 1'b0;
175
        else if (icpu_ack_i | icpu_err_i)
176
//      else if (!if_stall)
177
                flushpipe_r <= #1 flushpipe;
178
        else if (!flushpipe)
179
                flushpipe_r <= #1 1'b0;
180
 
181
//
182 504 lampret
// Multicycle freeze
183
//
184
assign multicycle_freeze = |multicycle_cnt;
185
 
186
//
187
// Multicycle counter
188
//
189
always @(posedge clk or posedge rst)
190
        if (rst)
191
                multicycle_cnt <= #1 3'b0;
192
        else if (multicycle_cnt)
193 1171 lampret
                multicycle_cnt <= #1 multicycle_cnt - 1'd1;
194 504 lampret
        else if (multicycle & !ex_freeze)
195
                multicycle_cnt <= #1 multicycle;
196
 
197
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.