OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 562

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
51
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
52
//
53
// Revision 1.9  2001/10/14 13:12:09  lampret
54
// MP3 version.
55
//
56
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
57
// no message
58
//
59
// Revision 1.4  2001/08/13 03:36:20  lampret
60
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
61
//
62
// Revision 1.3  2001/08/09 13:39:33  lampret
63
// Major clean-up.
64
//
65
// Revision 1.2  2001/07/22 03:31:53  lampret
66
// Fixed RAM's oen bug. Cache bypass under development.
67
//
68
// Revision 1.1  2001/07/20 00:46:03  lampret
69
// Development version of RTL. Libraries are missing.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
//
79
// Data cache
80
//
81
module or1200_ic_top(
82
        // Rst, clk and clock control
83
        clk, rst,
84
 
85
        // External i/f
86
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
87
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
88
 
89
        // Internal i/f
90
        ic_en,
91
        icimmu_adr_i, icimmu_cyc_i, icimmu_stb_i, icimmu_ci_i,
92
        icpu_we_i, icpu_sel_i, icpu_tag_i,
93
        icpu_dat_o, icpu_ack_o, icpu_rty_o, icimmu_err_o, icimmu_tag_o,
94
 
95
        // SPRs
96
        spr_cs, spr_write, spr_dat_i
97
);
98
 
99
parameter dw = `OR1200_OPERAND_WIDTH;
100
 
101
//
102
// I/O
103
//
104
 
105
//
106
// Clock and reset
107
//
108
input                           clk;
109
input                           rst;
110
 
111
//
112
// External I/F
113
//
114
output  [dw-1:0]         icbiu_dat_o;
115
output  [31:0]                   icbiu_adr_o;
116
output                          icbiu_cyc_o;
117
output                          icbiu_stb_o;
118
output                          icbiu_we_o;
119
output  [3:0]                    icbiu_sel_o;
120
output                          icbiu_cab_o;
121
input   [dw-1:0]         icbiu_dat_i;
122
input                           icbiu_ack_i;
123
input                           icbiu_err_i;
124
 
125
//
126
// Internal I/F
127
//
128
input                           ic_en;
129
input   [31:0]                   icimmu_adr_i;
130
input                           icimmu_cyc_i;
131
input                           icimmu_stb_i;
132
input                           icimmu_ci_i;
133
input                           icpu_we_i;
134
input   [3:0]                    icpu_sel_i;
135
input   [3:0]                    icpu_tag_i;
136
output  [dw-1:0]         icpu_dat_o;
137
output                          icpu_ack_o;
138
output                          icpu_rty_o;
139
output                          icimmu_err_o;
140
output  [3:0]                    icimmu_tag_o;
141
 
142
//
143
// SPR access
144
//
145
input                           spr_cs;
146
input                           spr_write;
147
input   [31:0]                   spr_dat_i;
148
 
149
//
150
// Internal wires and regs
151
//
152
wire                            tag_v;
153
wire    [`OR1200_ICTAG_W-2:0]    tag;
154
wire    [dw-1:0]         to_icram;
155
wire    [dw-1:0]         from_icram;
156
wire    [31:0]                   saved_addr;
157
wire    [3:0]                    icram_we;
158
wire                            ictag_we;
159
wire    [31:0]                   ic_addr;
160
wire                            icfsm_biu_read;
161
reg                             tagcomp_miss;
162
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
163
wire                            ictag_en;
164
wire                            ictag_v;
165
wire                            ic_inv;
166
wire                            icfsm_first_hit_ack;
167
wire                            icfsm_first_miss_ack;
168
wire                            icfsm_first_miss_err;
169
wire                            icfsm_burst;
170
 
171
//
172
// Simple assignments
173
//
174
assign icbiu_adr_o = ic_addr;
175
assign ic_inv = spr_cs & spr_write;
176
assign ictag_we = (icfsm_biu_read & icbiu_ack_i) | ic_inv;
177
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
178
assign ictag_en = ic_inv | ic_en;
179
assign ictag_v = ~ic_inv;
180
 
181
//
182
// Data to BIU is from ICRAM when IC is enabled or from LSU when
183
// IC is disabled
184
//
185
assign icbiu_dat_o = 32'h00000000;
186
 
187
//
188
// Bypases of the IC when IC is disabled
189
//
190
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icimmu_cyc_i;
191
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icimmu_stb_i;
192
assign icbiu_we_o = 1'b0;
193
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icpu_sel_i;
194
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
195
assign icpu_rty_o = ~icpu_ack_o;
196 562 lampret
assign icimmu_tag_o = icimmu_err_o ? `OR1200_ITAG_BE : icpu_tag_i;
197 504 lampret
 
198
//
199
// CPU normal and error termination
200
//
201
assign icpu_ack_o = ic_en ? icfsm_first_hit_ack | icfsm_first_miss_ack : icbiu_ack_i;
202
assign icimmu_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
203
 
204
//
205
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
206
//
207
assign ic_addr = (icfsm_biu_read) ? saved_addr : icimmu_adr_i;
208
 
209
//
210
// Select between input data generated by LSU or by BIU
211
//
212
assign to_icram = icbiu_dat_i;
213
 
214
//
215
// Select between data generated by ICRAM or passed by BIU
216
//
217
assign icpu_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
218
 
219
//
220
// Tag comparison
221
//
222
always @(tag or saved_addr or tag_v) begin
223
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
224
                tagcomp_miss = 1'b1;
225
        else
226
                tagcomp_miss = 1'b0;
227
end
228
 
229
//
230
// Instantiation of IC Finite State Machine
231
//
232
or1200_ic_fsm or1200_ic_fsm(
233
        .clk(clk),
234
        .rst(rst),
235
        .ic_en(ic_en),
236
        .icimmu_cyc_i(icimmu_cyc_i),
237
        .icimmu_stb_i(icimmu_stb_i),
238 562 lampret
        .icimmu_ci_i(icimmu_ci_i),
239 504 lampret
        .icpu_sel_i(icpu_sel_i),
240
        .tagcomp_miss(tagcomp_miss),
241
        .biudata_valid(icbiu_ack_i),
242
        .biudata_error(icbiu_err_i),
243
        .start_addr(icimmu_adr_i),
244
        .saved_addr(saved_addr),
245
        .icram_we(icram_we),
246
        .biu_read(icfsm_biu_read),
247
        .first_hit_ack(icfsm_first_hit_ack),
248
        .first_miss_ack(icfsm_first_miss_ack),
249
        .first_miss_err(icfsm_first_miss_err),
250
        .burst(icfsm_burst)
251
);
252
 
253
//
254
// Instantiation of IC main memory
255
//
256
or1200_ic_ram or1200_ic_ram(
257
        .clk(clk),
258
        .rst(rst),
259
        .addr(ic_addr[`OR1200_ICINDXH:2]),
260
        .en(ic_en),
261
        .we(icram_we),
262
        .datain(to_icram),
263
        .dataout(from_icram)
264
);
265
 
266
//
267
// Instantiation of IC TAG memory
268
//
269
or1200_ic_tag or1200_ic_tag(
270
        .clk(clk),
271
        .rst(rst),
272
        .addr(ictag_addr),
273
        .en(ictag_en),
274
        .we(ictag_we),
275
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
276
        .tag_v(tag_v),
277
        .tag(tag)
278
);
279
 
280
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.