OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_ic_top.v] - Blame information for rev 617

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
48
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
49
//
50 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
54
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from ic.v and ic.v. Fixed CR+LF.
55
//
56
// Revision 1.9  2001/10/14 13:12:09  lampret
57
// MP3 version.
58
//
59
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
60
// no message
61
//
62
// Revision 1.4  2001/08/13 03:36:20  lampret
63
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
64
//
65
// Revision 1.3  2001/08/09 13:39:33  lampret
66
// Major clean-up.
67
//
68
// Revision 1.2  2001/07/22 03:31:53  lampret
69
// Fixed RAM's oen bug. Cache bypass under development.
70
//
71
// Revision 1.1  2001/07/20 00:46:03  lampret
72
// Development version of RTL. Libraries are missing.
73
//
74
//
75
 
76
// synopsys translate_off
77
`include "timescale.v"
78
// synopsys translate_on
79
`include "or1200_defines.v"
80
 
81
//
82
// Data cache
83
//
84
module or1200_ic_top(
85
        // Rst, clk and clock control
86
        clk, rst,
87
 
88
        // External i/f
89
        icbiu_dat_o, icbiu_adr_o, icbiu_cyc_o, icbiu_stb_o, icbiu_we_o, icbiu_sel_o, icbiu_cab_o,
90
        icbiu_dat_i, icbiu_ack_i, icbiu_err_i,
91
 
92
        // Internal i/f
93
        ic_en,
94
        icimmu_adr_i, icimmu_cyc_i, icimmu_stb_i, icimmu_ci_i,
95
        icpu_we_i, icpu_sel_i, icpu_tag_i,
96 617 lampret
        icpu_dat_o, icpu_ack_o, icimmu_rty_o, icimmu_err_o, icimmu_tag_o,
97 504 lampret
 
98
        // SPRs
99
        spr_cs, spr_write, spr_dat_i
100
);
101
 
102
parameter dw = `OR1200_OPERAND_WIDTH;
103
 
104
//
105
// I/O
106
//
107
 
108
//
109
// Clock and reset
110
//
111
input                           clk;
112
input                           rst;
113
 
114
//
115
// External I/F
116
//
117
output  [dw-1:0]         icbiu_dat_o;
118
output  [31:0]                   icbiu_adr_o;
119
output                          icbiu_cyc_o;
120
output                          icbiu_stb_o;
121
output                          icbiu_we_o;
122
output  [3:0]                    icbiu_sel_o;
123
output                          icbiu_cab_o;
124
input   [dw-1:0]         icbiu_dat_i;
125
input                           icbiu_ack_i;
126
input                           icbiu_err_i;
127
 
128
//
129
// Internal I/F
130
//
131
input                           ic_en;
132
input   [31:0]                   icimmu_adr_i;
133
input                           icimmu_cyc_i;
134
input                           icimmu_stb_i;
135
input                           icimmu_ci_i;
136
input                           icpu_we_i;
137
input   [3:0]                    icpu_sel_i;
138
input   [3:0]                    icpu_tag_i;
139
output  [dw-1:0]         icpu_dat_o;
140
output                          icpu_ack_o;
141 617 lampret
output                          icimmu_rty_o;
142 504 lampret
output                          icimmu_err_o;
143
output  [3:0]                    icimmu_tag_o;
144
 
145
//
146
// SPR access
147
//
148
input                           spr_cs;
149
input                           spr_write;
150
input   [31:0]                   spr_dat_i;
151
 
152
//
153
// Internal wires and regs
154
//
155
wire                            tag_v;
156
wire    [`OR1200_ICTAG_W-2:0]    tag;
157
wire    [dw-1:0]         to_icram;
158
wire    [dw-1:0]         from_icram;
159
wire    [31:0]                   saved_addr;
160
wire    [3:0]                    icram_we;
161
wire                            ictag_we;
162
wire    [31:0]                   ic_addr;
163
wire                            icfsm_biu_read;
164
reg                             tagcomp_miss;
165
wire    [`OR1200_ICINDXH:`OR1200_ICLS]  ictag_addr;
166
wire                            ictag_en;
167
wire                            ictag_v;
168
wire                            ic_inv;
169
wire                            icfsm_first_hit_ack;
170
wire                            icfsm_first_miss_ack;
171
wire                            icfsm_first_miss_err;
172
wire                            icfsm_burst;
173
 
174
//
175
// Simple assignments
176
//
177
assign icbiu_adr_o = ic_addr;
178
assign ic_inv = spr_cs & spr_write;
179
assign ictag_we = (icfsm_biu_read & icbiu_ack_i) | ic_inv;
180
assign ictag_addr = ic_inv ? spr_dat_i[`OR1200_ICINDXH:`OR1200_ICLS] : ic_addr[`OR1200_ICINDXH:`OR1200_ICLS];
181
assign ictag_en = ic_inv | ic_en;
182
assign ictag_v = ~ic_inv;
183
 
184
//
185
// Data to BIU is from ICRAM when IC is enabled or from LSU when
186
// IC is disabled
187
//
188
assign icbiu_dat_o = 32'h00000000;
189
 
190
//
191
// Bypases of the IC when IC is disabled
192
//
193
assign icbiu_cyc_o = (ic_en) ? icfsm_biu_read : icimmu_cyc_i;
194
assign icbiu_stb_o = (ic_en) ? icfsm_biu_read : icimmu_stb_i;
195
assign icbiu_we_o = 1'b0;
196
assign icbiu_sel_o = (ic_en & icfsm_biu_read) ? 4'b1111 : icpu_sel_i;
197
assign icbiu_cab_o = (ic_en) ? icfsm_burst : 1'b0;
198 617 lampret
assign icimmu_rty_o = ~icpu_ack_o & ~icimmu_err_o;
199 562 lampret
assign icimmu_tag_o = icimmu_err_o ? `OR1200_ITAG_BE : icpu_tag_i;
200 504 lampret
 
201
//
202
// CPU normal and error termination
203
//
204 617 lampret
// assign icpu_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) & !ic_inv : icbiu_ack_i;
205
assign icpu_ack_o = ic_en ? (icfsm_first_hit_ack | icfsm_first_miss_ack) : icbiu_ack_i;
206 504 lampret
assign icimmu_err_o = ic_en ? icfsm_first_miss_err : icbiu_err_i;
207
 
208
//
209
// Select between claddr generated by IC FSM and addr[3:2] generated by LSU
210
//
211
assign ic_addr = (icfsm_biu_read) ? saved_addr : icimmu_adr_i;
212
 
213
//
214
// Select between input data generated by LSU or by BIU
215
//
216
assign to_icram = icbiu_dat_i;
217
 
218
//
219
// Select between data generated by ICRAM or passed by BIU
220
//
221
assign icpu_dat_o = icfsm_first_miss_ack | !ic_en ? icbiu_dat_i : from_icram;
222
 
223
//
224
// Tag comparison
225
//
226
always @(tag or saved_addr or tag_v) begin
227
        if ((tag != saved_addr[31:`OR1200_ICTAGL]) || !tag_v)
228
                tagcomp_miss = 1'b1;
229
        else
230
                tagcomp_miss = 1'b0;
231
end
232
 
233
//
234
// Instantiation of IC Finite State Machine
235
//
236
or1200_ic_fsm or1200_ic_fsm(
237
        .clk(clk),
238
        .rst(rst),
239
        .ic_en(ic_en),
240
        .icimmu_cyc_i(icimmu_cyc_i),
241
        .icimmu_stb_i(icimmu_stb_i),
242 562 lampret
        .icimmu_ci_i(icimmu_ci_i),
243 504 lampret
        .icpu_sel_i(icpu_sel_i),
244
        .tagcomp_miss(tagcomp_miss),
245
        .biudata_valid(icbiu_ack_i),
246
        .biudata_error(icbiu_err_i),
247
        .start_addr(icimmu_adr_i),
248
        .saved_addr(saved_addr),
249
        .icram_we(icram_we),
250
        .biu_read(icfsm_biu_read),
251
        .first_hit_ack(icfsm_first_hit_ack),
252
        .first_miss_ack(icfsm_first_miss_ack),
253
        .first_miss_err(icfsm_first_miss_err),
254
        .burst(icfsm_burst)
255
);
256
 
257
//
258
// Instantiation of IC main memory
259
//
260
or1200_ic_ram or1200_ic_ram(
261
        .clk(clk),
262
        .rst(rst),
263
        .addr(ic_addr[`OR1200_ICINDXH:2]),
264
        .en(ic_en),
265
        .we(icram_we),
266
        .datain(to_icram),
267
        .dataout(from_icram)
268
);
269
 
270
//
271
// Instantiation of IC TAG memory
272
//
273
or1200_ic_tag or1200_ic_tag(
274
        .clk(clk),
275
        .rst(rst),
276
        .addr(ictag_addr),
277
        .en(ictag_en),
278
        .we(ictag_we),
279
        .datain({ic_addr[31:`OR1200_ICTAGL], ictag_v}),
280
        .tag_v(tag_v),
281
        .tag(tag)
282
);
283
 
284
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.