OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_if.v] - Blame information for rev 617

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's instruction fetch                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  PC, instruction fetch, interface to IC.                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 617 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.10  2001/11/20 18:46:15  simons
51
// Break point bug fixed
52
//
53
// Revision 1.9  2001/11/18 09:58:28  lampret
54
// Fixed some l.trap typos.
55
//
56
// Revision 1.8  2001/11/18 08:36:28  lampret
57
// For GDB changed single stepping and disabled trap exception.
58
//
59
// Revision 1.7  2001/10/21 17:57:16  lampret
60
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
61
//
62
// Revision 1.6  2001/10/14 13:12:09  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
66
// no message
67
//
68
// Revision 1.1  2001/08/09 13:39:33  lampret
69
// Major clean-up.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
module or1200_if(
79
        // Clock and reset
80
        clk, rst,
81
 
82
        // External i/f to IC
83
        icpu_dat_i, icpu_ack_i, icpu_rty_i, icpu_err_i, icpu_adr_i, icpu_tag_i,
84
 
85
        // Internal i/f
86
        if_freeze, if_insn, if_pc, flushpipe,
87 617 lampret
        if_stall, no_more_dslot, taken, genpc_refetch, rfe,
88 504 lampret
        except_itlbmiss, except_immufault, except_ibuserr
89
);
90
 
91
//
92
// I/O
93
//
94
 
95
//
96
// Clock and reset
97
//
98
input                           clk;
99
input                           rst;
100
 
101
//
102
// External i/f to IC
103
//
104
input   [31:0]                   icpu_dat_i;
105
input                           icpu_ack_i;
106
input                           icpu_rty_i;
107
input                           icpu_err_i;
108
input   [31:0]                   icpu_adr_i;
109
input   [3:0]                    icpu_tag_i;
110
 
111
//
112
// Internal i/f
113
//
114
input                           if_freeze;
115
output  [31:0]                   if_insn;
116
output  [31:0]                   if_pc;
117
input                           flushpipe;
118
output                          if_stall;
119 617 lampret
input                           no_more_dslot;
120 504 lampret
input                           taken;
121
output                          genpc_refetch;
122
input                           rfe;
123
output                          except_itlbmiss;
124
output                          except_immufault;
125
output                          except_ibuserr;
126
 
127
//
128
// Internal wires and regs
129
//
130
reg     [31:0]                   insn_saved;
131
reg     [31:0]                   addr_saved;
132
reg                             saved;
133
 
134
//
135
// IF stage insn
136
//
137 617 lampret
assign if_insn = icpu_err_i | no_more_dslot | rfe ? {`OR1200_OR32_NOP, 26'h041_0000} : saved ? insn_saved : icpu_ack_i ? icpu_dat_i : {`OR1200_OR32_NOP, 26'h061_0000};
138 504 lampret
assign if_pc = saved ? addr_saved : icpu_adr_i;
139 617 lampret
// assign if_stall = !icpu_err_i & !icpu_ack_i & !saved & !no_more_dslot;
140 504 lampret
assign if_stall = !icpu_err_i & !icpu_ack_i & !saved;
141
assign genpc_refetch = saved & icpu_ack_i;
142 617 lampret
assign except_itlbmiss = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_TE) & !no_more_dslot;
143
assign except_immufault = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_PE) & !no_more_dslot;
144
assign except_ibuserr = icpu_err_i & (icpu_tag_i == `OR1200_ITAG_BE) & !no_more_dslot;
145 504 lampret
 
146
//
147
// Flag for saved insn/address
148
//
149
always @(posedge clk or posedge rst)
150
        if (rst)
151
                saved <= #1 1'b0;
152
        else if (flushpipe)
153
                saved <= #1 1'b0;
154
        else if (icpu_ack_i & if_freeze & !saved)
155
                saved <= #1 1'b1;
156
        else if (!if_freeze)
157
                saved <= #1 1'b0;
158
 
159
//
160
// Store fetched instruction
161
//
162
always @(posedge clk or posedge rst)
163
        if (rst)
164 617 lampret
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
165 504 lampret
        else if (flushpipe)
166 617 lampret
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
167 504 lampret
        else if (icpu_ack_i & if_freeze & !saved)
168
                insn_saved <= #1 icpu_dat_i;
169
        else if (!if_freeze)
170 617 lampret
                insn_saved <= #1 {`OR1200_OR32_NOP, 26'h041_0000};
171 504 lampret
 
172
//
173
// Store fetched instruction's address
174
//
175
always @(posedge clk or posedge rst)
176
        if (rst)
177
                addr_saved <= #1 32'h00000000;
178
        else if (flushpipe)
179
                addr_saved <= #1 32'h00000000;
180
        else if (icpu_ack_i & if_freeze & !saved)
181
                addr_saved <= #1 icpu_adr_i;
182
        else if (!if_freeze)
183
                addr_saved <= #1 icpu_adr_i;
184
 
185
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.