OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_spram_256x21.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1214 simons
// Revision 1.3.4.1  2003/07/08 15:36:37  lampret
67
// Added embedded memory QMEM.
68
//
69 1171 lampret
// Revision 1.3  2003/04/07 01:19:07  lampret
70
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
71
//
72 1129 lampret
// Revision 1.2  2002/10/17 20:04:40  lampret
73
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
74
//
75 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
76
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
77
//
78 504 lampret
// Revision 1.10  2001/11/27 21:24:04  lampret
79
// Changed instantiation name of VS RAMs.
80
//
81
// Revision 1.9  2001/11/27 19:45:04  lampret
82
// Fixed VS RAM instantiation - again.
83
//
84
// Revision 1.8  2001/11/23 21:42:31  simons
85
// Program counter divided to PPC and NPC.
86
//
87
// Revision 1.6  2001/10/21 17:57:16  lampret
88
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
89
//
90
// Revision 1.5  2001/10/14 13:12:09  lampret
91
// MP3 version.
92
//
93
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
94
// no message
95
//
96
// Revision 1.1  2001/08/09 13:39:33  lampret
97
// Major clean-up.
98
//
99
// Revision 1.2  2001/07/30 05:38:02  lampret
100
// Adding empty directories required by HDL coding guidelines
101
//
102
//
103
 
104
// synopsys translate_off
105
`include "timescale.v"
106
// synopsys translate_on
107
`include "or1200_defines.v"
108
 
109
module or1200_spram_256x21(
110 1063 lampret
`ifdef OR1200_BIST
111
        // RAM BIST
112 1214 simons
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
113 1063 lampret
`endif
114 504 lampret
        // Generic synchronous single-port RAM interface
115
        clk, rst, ce, we, oe, addr, di, do
116
);
117
 
118
//
119
// Default address and data buses width
120
//
121
parameter aw = 8;
122
parameter dw = 21;
123
 
124 1063 lampret
`ifdef OR1200_BIST
125 504 lampret
//
126 1063 lampret
// RAM BIST
127
//
128 1214 simons
input mbist_si_i;
129
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
130
output mbist_so_o;
131 1063 lampret
`endif
132
 
133
//
134 504 lampret
// Generic synchronous single-port RAM interface
135
//
136
input                   clk;    // Clock
137
input                   rst;    // Reset
138
input                   ce;     // Chip enable input
139
input                   we;     // Write enable input
140
input                   oe;     // Output enable input
141
input   [aw-1:0] addr;   // address bus inputs
142
input   [dw-1:0] di;     // input data bus
143
output  [dw-1:0] do;     // output data bus
144
 
145
//
146
// Internal wires and registers
147
//
148
wire    [10:0]           unconnected;
149
 
150 1214 simons
`ifdef OR1200_ARTISAN_SSP
151
`else
152 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
153
`else
154
`ifdef OR1200_BIST
155 1214 simons
assign mbist_so_o = mbist_si_i;
156 1063 lampret
`endif
157
`endif
158 1214 simons
`endif
159 1063 lampret
 
160 504 lampret
`ifdef OR1200_ARTISAN_SSP
161
 
162
//
163
// Instantiation of ASIC memory:
164
//
165
// Artisan Synchronous Single-Port RAM (ra1sh)
166
//
167
`ifdef UNUSED
168
art_hssp_256x21 #(dw, 1<<aw, aw) artisan_ssp(
169
`else
170 1214 simons
`ifdef OR1200_BIST
171
art_hssp_256x21_bist artisan_ssp(
172
`else
173 504 lampret
art_hssp_256x21 artisan_ssp(
174
`endif
175 1214 simons
`endif
176
`ifdef OR1200_BIST
177
        // RAM BIST
178
        .mbist_si_i(mbist_si_i),
179
        .mbist_so_o(mbist_so_o),
180
        .mbist_ctrl_i(mbist_ctrl_i),
181
`endif
182
        .CLK(clk),
183
        .CEN(~ce),
184
        .WEN(~we),
185
        .A(addr),
186
        .D(di),
187
        .OEN(~oe),
188
        .Q(do)
189 504 lampret
);
190
 
191
`else
192
 
193
`ifdef OR1200_AVANT_ATP
194
 
195
//
196
// Instantiation of ASIC memory:
197
//
198
// Avant! Asynchronous Two-Port RAM
199
//
200
avant_atp avant_atp(
201
        .web(~we),
202
        .reb(),
203
        .oeb(~oe),
204
        .rcsb(),
205
        .wcsb(),
206
        .ra(addr),
207
        .wa(addr),
208
        .di(di),
209
        .do(do)
210
);
211
 
212
`else
213
 
214
`ifdef OR1200_VIRAGE_SSP
215
 
216
//
217
// Instantiation of ASIC memory:
218
//
219
// Virage Synchronous 1-port R/W RAM
220
//
221
virage_ssp virage_ssp(
222
        .clk(clk),
223
        .adr(addr),
224
        .d(di),
225
        .we(we),
226
        .oe(oe),
227
        .me(ce),
228
        .q(do)
229
);
230
 
231
`else
232
 
233
`ifdef OR1200_VIRTUALSILICON_SSP
234
 
235
//
236
// Instantiation of ASIC memory:
237
//
238
// Virtual Silicon Single-Port Synchronous SRAM
239
//
240
`ifdef UNUSED
241
vs_hdsp_256x21 #(1<<aw, aw-1, dw-1) vs_ssp(
242
`else
243 1063 lampret
`ifdef OR1200_BIST
244
vs_hdsp_256x21_bist vs_ssp(
245
`else
246 504 lampret
vs_hdsp_256x21 vs_ssp(
247
`endif
248 1063 lampret
`endif
249
`ifdef OR1200_BIST
250
        // RAM BIST
251 1214 simons
        .mbist_si_i(mbist_si_i),
252
        .mbist_so_o(mbist_so_o),
253
        .mbist_ctrl_i(mbist_ctrl_i),
254 1063 lampret
`endif
255 504 lampret
        .CK(clk),
256
        .ADR(addr),
257
        .DI(di),
258
        .WEN(~we),
259
        .CEN(~ce),
260
        .OEN(~oe),
261
        .DOUT(do)
262
);
263
 
264
`else
265
 
266
`ifdef OR1200_XILINX_RAMB4
267
 
268
//
269
// Instantiation of FPGA memory:
270
//
271
// Virtex/Spartan2
272
//
273
 
274
//
275
// Block 0
276
//
277
RAMB4_S16 ramb4_s16_0(
278
        .CLK(clk),
279
        .RST(rst),
280
        .ADDR(addr),
281
        .DI(di[15:0]),
282
        .EN(ce),
283
        .WE(we),
284
        .DO(do[15:0])
285
);
286
 
287
//
288
// Block 1
289
//
290
RAMB4_S16 ramb4_s16_1(
291
        .CLK(clk),
292
        .RST(rst),
293
        .ADDR(addr),
294
        .DI({11'b00000000000, di[20:16]}),
295
        .EN(ce),
296
        .WE(we),
297
        .DO({unconnected, do[20:16]})
298
);
299
 
300
`else
301
 
302 1129 lampret
`ifdef OR1200_ALTERA_LPM
303
 
304 504 lampret
//
305 1129 lampret
// Instantiation of FPGA memory:
306
//
307
// Altera LPM
308
//
309
// Added By Jamil Khatib
310
//
311
 
312
wire    wr;
313
 
314
assign  wr = ce & we;
315
 
316
initial $display("Using Altera LPM.");
317
 
318
lpm_ram_dq lpm_ram_dq_component (
319
        .address(addr),
320
        .inclock(clk),
321
        .outclock(clk),
322
        .data(di),
323
        .we(wr),
324
        .q(do)
325
);
326
 
327
defparam lpm_ram_dq_component.lpm_width = dw,
328
        lpm_ram_dq_component.lpm_widthad = aw,
329
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
330
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
331
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
332 1214 simons
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
333 1129 lampret
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
334
 
335
`else
336
 
337
//
338 504 lampret
// Generic single-port synchronous RAM model
339
//
340
 
341
//
342
// Generic RAM's registers and wires
343
//
344
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
345
reg     [dw-1:0] do_reg;                 // RAM data output register
346
 
347
//
348
// Data output drivers
349
//
350 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
351 504 lampret
 
352
//
353
// RAM read and write
354
//
355
always @(posedge clk)
356
        if (ce && !we)
357
                do_reg <= #1 mem[addr];
358
        else if (ce && we)
359
                mem[addr] <= #1 di;
360
 
361 1129 lampret
`endif  // !OR1200_ALTERA_LPM
362 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
363
`endif  // !OR1200_VIRTUALSILICON_SSP
364
`endif  // !OR1200_VIRAGE_SSP
365
`endif  // !OR1200_AVANT_ATP
366
`endif  // !OR1200_ARTISAN_SSP
367
 
368
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.