OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x14.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1214 simons
// Revision 1.3  2003/04/07 01:19:07  lampret
67
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
68
//
69 1129 lampret
// Revision 1.2  2002/10/17 20:04:41  lampret
70
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
71
//
72 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
73
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
74
//
75 504 lampret
// Revision 1.7  2001/11/02 18:57:14  lampret
76
// Modified virtual silicon instantiations.
77
//
78
// Revision 1.6  2001/10/21 17:57:16  lampret
79
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
80
//
81
// Revision 1.5  2001/10/14 13:12:09  lampret
82
// MP3 version.
83
//
84
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
85
// no message
86
//
87
// Revision 1.1  2001/08/09 13:39:33  lampret
88
// Major clean-up.
89
//
90
// Revision 1.2  2001/07/30 05:38:02  lampret
91
// Adding empty directories required by HDL coding guidelines
92
//
93
//
94
 
95
// synopsys translate_off
96
`include "timescale.v"
97
// synopsys translate_on
98
`include "or1200_defines.v"
99
 
100
module or1200_spram_64x14(
101 1063 lampret
`ifdef OR1200_BIST
102
        // RAM BIST
103 1214 simons
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
104 1063 lampret
`endif
105 504 lampret
        // Generic synchronous single-port RAM interface
106
        clk, rst, ce, we, oe, addr, di, do
107
);
108
 
109
//
110
// Default address and data buses width
111
//
112
parameter aw = 6;
113
parameter dw = 14;
114
 
115 1063 lampret
`ifdef OR1200_BIST
116 504 lampret
//
117 1063 lampret
// RAM BIST
118
//
119 1214 simons
input mbist_si_i;
120
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
121
output mbist_so_o;
122 1063 lampret
`endif
123
 
124
//
125 504 lampret
// Generic synchronous single-port RAM interface
126
//
127
input                   clk;    // Clock
128
input                   rst;    // Reset
129
input                   ce;     // Chip enable input
130
input                   we;     // Write enable input
131
input                   oe;     // Output enable input
132
input   [aw-1:0] addr;   // address bus inputs
133
input   [dw-1:0] di;     // input data bus
134
output  [dw-1:0] do;     // output data bus
135
 
136
//
137
// Internal wires and registers
138
//
139
wire    [1:0]            unconnected;
140
 
141 1214 simons
`ifdef OR1200_ARTISAN_SSP
142
`else
143 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
144
`else
145
`ifdef OR1200_BIST
146 1214 simons
assign mbist_so_o = mbist_si_i;
147 1063 lampret
`endif
148
`endif
149 1214 simons
`endif
150 1063 lampret
 
151 504 lampret
`ifdef OR1200_ARTISAN_SSP
152
 
153
//
154
// Instantiation of ASIC memory:
155
//
156
// Artisan Synchronous Single-Port RAM (ra1sh)
157
//
158
`ifdef UNUSED
159
art_hssp_64x14 #(dw, 1<<aw, aw) artisan_ssp(
160
`else
161 1214 simons
`ifdef OR1200_BIST
162
art_hssp_64x14_bist artisan_ssp(
163
`else
164 504 lampret
art_hssp_64x14 artisan_ssp(
165
`endif
166 1214 simons
`endif
167
`ifdef OR1200_BIST
168
        // RAM BIST
169
        .mbist_si_i(mbist_si_i),
170
        .mbist_so_o(mbist_so_o),
171
        .mbist_ctrl_i(mbist_ctrl_i),
172
`endif
173
        .CLK(clk),
174
        .CEN(~ce),
175
        .WEN(~we),
176
        .A(addr),
177
        .D(di),
178
        .OEN(~oe),
179
        .Q(do)
180 504 lampret
);
181
 
182
`else
183
 
184
`ifdef OR1200_AVANT_ATP
185
 
186
//
187
// Instantiation of ASIC memory:
188
//
189
// Avant! Asynchronous Two-Port RAM
190
//
191
avant_atp avant_atp(
192
        .web(~we),
193
        .reb(),
194
        .oeb(~oe),
195
        .rcsb(),
196
        .wcsb(),
197
        .ra(addr),
198
        .wa(addr),
199
        .di(di),
200
        .do(do)
201
);
202
 
203
`else
204
 
205
`ifdef OR1200_VIRAGE_SSP
206
 
207
//
208
// Instantiation of ASIC memory:
209
//
210
// Virage Synchronous 1-port R/W RAM
211
//
212
virage_ssp virage_ssp(
213
        .clk(clk),
214
        .adr(addr),
215
        .d(di),
216
        .we(we),
217
        .oe(oe),
218
        .me(ce),
219
        .q(do)
220
);
221
 
222
`else
223
 
224
`ifdef OR1200_VIRTUALSILICON_SSP
225
 
226
//
227
// Instantiation of ASIC memory:
228
//
229
// Virtual Silicon Single-Port Synchronous SRAM
230
//
231
`ifdef UNUSED
232
vs_hdsp_64x14 #(1<<aw, aw-1, dw-1) vs_ssp(
233
`else
234 1063 lampret
`ifdef OR1200_BIST
235
vs_hdsp_64x14_bist vs_ssp(
236
`else
237 504 lampret
vs_hdsp_64x14 vs_ssp(
238
`endif
239 1063 lampret
`endif
240
`ifdef OR1200_BIST
241
        // RAM BIST
242 1214 simons
        .mbist_si_i(mbist_si_i),
243
        .mbist_so_o(mbist_so_o),
244
        .mbist_ctrl_i(mbist_ctrl_i),
245 1063 lampret
`endif
246 504 lampret
        .CK(clk),
247
        .ADR(addr),
248
        .DI(di),
249
        .WEN(~we),
250
        .CEN(~ce),
251
        .OEN(~oe),
252
        .DOUT(do)
253
);
254
 
255
`else
256
 
257
`ifdef OR1200_XILINX_RAMB4
258
 
259
//
260
// Instantiation of FPGA memory:
261
//
262
// Virtex/Spartan2
263
//
264
 
265
//
266
// Block 0
267
//
268
RAMB4_S16 ramb4_s16_0(
269
        .CLK(clk),
270
        .RST(rst),
271
        .ADDR({2'b00, addr}),
272
        .DI({unconnected, di[13:0]}),
273
        .EN(ce),
274
        .WE(we),
275
        .DO({unconnected, do[13:0]})
276
);
277
 
278
`else
279
 
280 1129 lampret
`ifdef OR1200_ALTERA_LPM
281
 
282 504 lampret
//
283 1129 lampret
// Instantiation of FPGA memory:
284
//
285
// Altera LPM
286
//
287
// Added By Jamil Khatib
288
//
289
 
290
wire    wr;
291
 
292
assign  wr = ce & we;
293
 
294
initial $display("Using Altera LPM.");
295
 
296
lpm_ram_dq lpm_ram_dq_component (
297
        .address(addr),
298
        .inclock(clk),
299
        .outclock(clk),
300
        .data(di),
301
        .we(wr),
302
        .q(do)
303
);
304
 
305
defparam lpm_ram_dq_component.lpm_width = dw,
306
        lpm_ram_dq_component.lpm_widthad = aw,
307
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
308
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
309
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
310
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
311
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
312
 
313
`else
314
 
315
//
316 504 lampret
// Generic single-port synchronous RAM model
317
//
318
 
319
//
320
// Generic RAM's registers and wires
321
//
322
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
323
reg     [dw-1:0] do_reg;                 // RAM data output register
324
 
325
//
326
// Data output drivers
327
//
328 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
329 504 lampret
 
330
//
331
// RAM read and write
332
//
333
always @(posedge clk)
334
        if (ce && !we)
335
                do_reg <= #1 mem[addr];
336
        else if (ce && we)
337
                mem[addr] <= #1 di;
338
 
339 1129 lampret
`endif  // !OR1200_ALTERA_LPM
340 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
341
`endif  // !OR1200_VIRTUALSILICON_SSP
342
`endif  // !OR1200_VIRAGE_SSP
343
`endif  // !OR1200_AVANT_ATP
344
`endif  // !OR1200_ARTISAN_SSP
345
 
346
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.