OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_spram_64x24.v] - Blame information for rev 1063

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26
////                                                              ////
27
////  To Do:                                                      ////
28
////   - xilinx rams need external tri-state logic                ////
29
////   - fix avant! two-port ram                                  ////
30
////   - add additional RAMs (Altera etc)                         ////
31
////                                                              ////
32
////  Author(s):                                                  ////
33
////      - Damjan Lampret, lampret@opencores.org                 ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
38
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.8  2001/11/02 18:57:14  lampret
69
// Modified virtual silicon instantiations.
70
//
71
// Revision 1.7  2001/10/22 19:39:56  lampret
72
// Fixed parameters in generic sprams.
73
//
74
// Revision 1.6  2001/10/21 17:57:16  lampret
75
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
76
//
77
// Revision 1.5  2001/10/14 13:12:09  lampret
78
// MP3 version.
79
//
80
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
81
// no message
82
//
83
// Revision 1.1  2001/08/09 13:39:33  lampret
84
// Major clean-up.
85
//
86
// Revision 1.2  2001/07/30 05:38:02  lampret
87
// Adding empty directories required by HDL coding guidelines
88
//
89
//
90
 
91
// synopsys translate_off
92
`include "timescale.v"
93
// synopsys translate_on
94
`include "or1200_defines.v"
95
 
96
module or1200_spram_64x24(
97 1063 lampret
`ifdef OR1200_BIST
98
        // RAM BIST
99
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
100
`endif
101 504 lampret
        // Generic synchronous single-port RAM interface
102
        clk, rst, ce, we, oe, addr, di, do
103
);
104
 
105
//
106
// Default address and data buses width
107
//
108
parameter aw = 6;
109
parameter dw = 24;
110
 
111 1063 lampret
`ifdef OR1200_BIST
112 504 lampret
//
113 1063 lampret
// RAM BIST
114
//
115
input                   scanb_rst,
116
                        scanb_si,
117
                        scanb_en,
118
                        scanb_clk;
119
output                  scanb_so;
120
`endif
121
 
122
//
123 504 lampret
// Generic synchronous single-port RAM interface
124
//
125
input                   clk;    // Clock
126
input                   rst;    // Reset
127
input                   ce;     // Chip enable input
128
input                   we;     // Write enable input
129
input                   oe;     // Output enable input
130
input   [aw-1:0] addr;   // address bus inputs
131
input   [dw-1:0] di;     // input data bus
132
output  [dw-1:0] do;     // output data bus
133
 
134
//
135
// Internal wires and registers
136
//
137
wire    [7:0]            unconnected;
138
 
139 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
140
`else
141
`ifdef OR1200_BIST
142
assign scanb_so = scanb_si;
143
`endif
144
`endif
145
 
146 504 lampret
`ifdef OR1200_ARTISAN_SSP
147
 
148
//
149
// Instantiation of ASIC memory:
150
//
151
// Artisan Synchronous Single-Port RAM (ra1sh)
152
//
153
`ifdef UNUSED
154
art_hssp_64x24 #(dw, 1<<aw, aw) artisan_ssp(
155
`else
156
art_hssp_64x24 artisan_ssp(
157
`endif
158
        .clk(clk),
159
        .cen(~ce),
160
        .wen(~we),
161
        .a(addr),
162
        .d(di),
163
        .oen(~oe),
164
        .q(do)
165
);
166
 
167
`else
168
 
169
`ifdef OR1200_AVANT_ATP
170
 
171
//
172
// Instantiation of ASIC memory:
173
//
174
// Avant! Asynchronous Two-Port RAM
175
//
176
avant_atp avant_atp(
177
        .web(~we),
178
        .reb(),
179
        .oeb(~oe),
180
        .rcsb(),
181
        .wcsb(),
182
        .ra(addr),
183
        .wa(addr),
184
        .di(di),
185
        .do(do)
186
);
187
 
188
`else
189
 
190
`ifdef OR1200_VIRAGE_SSP
191
 
192
//
193
// Instantiation of ASIC memory:
194
//
195
// Virage Synchronous 1-port R/W RAM
196
//
197
virage_ssp virage_ssp(
198
        .clk(clk),
199
        .adr(addr),
200
        .d(di),
201
        .we(we),
202
        .oe(oe),
203
        .me(ce),
204
        .q(do)
205
);
206
 
207
`else
208
 
209
`ifdef OR1200_VIRTUALSILICON_SSP
210
 
211
//
212
// Instantiation of ASIC memory:
213
//
214
// Virtual Silicon Single-Port Synchronous SRAM
215
//
216
`ifdef UNUSED
217
vs_hdsp_64x24 #(1<<aw, aw-1, dw-1) vs_ssp(
218
`else
219 1063 lampret
`ifdef OR1200_BIST
220
vs_hdsp_64x24_bist vs_ssp(
221
`else
222 504 lampret
vs_hdsp_64x24 vs_ssp(
223
`endif
224 1063 lampret
`endif
225
`ifdef OR1200_BIST
226
        // RAM BIST
227
        .scanb_rst(scanb_rst),
228
        .scanb_si(scanb_si),
229
        .scanb_so(scanb_so),
230
        .scanb_en(scanb_en),
231
        .scanb_clk(scanb_clk),
232
`endif
233 504 lampret
        .CK(clk),
234
        .ADR(addr),
235
        .DI(di),
236
        .WEN(~we),
237
        .CEN(~ce),
238
        .OEN(~oe),
239
        .DOUT(do)
240
);
241
 
242
`else
243
 
244
`ifdef OR1200_XILINX_RAMB4
245
 
246
//
247
// Instantiation of FPGA memory:
248
//
249
// Virtex/Spartan2
250
//
251
 
252
//
253
// Block 0
254
//
255
RAMB4_S16 ramb4_s16_0(
256
        .CLK(clk),
257
        .RST(rst),
258
        .ADDR({2'b00, addr}),
259
        .DI(di[15:0]),
260
        .EN(ce),
261
        .WE(we),
262
        .DO(do[15:0])
263
);
264
 
265
//
266
// Block 1
267
//
268
RAMB4_S16 ramb4_s16_1(
269
        .CLK(clk),
270
        .RST(rst),
271
        .ADDR({2'b00, addr}),
272
        .DI({unconnected, di[23:16]}),
273
        .EN(ce),
274
        .WE(we),
275
        .DO({unconnected, do[23:16]})
276
);
277
 
278
`else
279
 
280
//
281
// Generic single-port synchronous RAM model
282
//
283
 
284
//
285
// Generic RAM's registers and wires
286
//
287
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
288
reg     [dw-1:0] do_reg;                 // RAM data output register
289
 
290
//
291
// Data output drivers
292
//
293
assign do = (oe) ? do_reg : {dw{1'bz}};
294
 
295
//
296
// RAM read and write
297
//
298
always @(posedge clk)
299
        if (ce && !we)
300
                do_reg <= #1 mem[addr];
301
        else if (ce && we)
302
                mem[addr] <= #1 di;
303
 
304
`endif  // !OR1200_XILINX_RAMB4_S16
305
`endif  // !OR1200_VIRTUALSILICON_SSP
306
`endif  // !OR1200_VIRAGE_SSP
307
`endif  // !OR1200_AVANT_ATP
308
`endif  // !OR1200_ARTISAN_SSP
309
 
310
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.