OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_top.v] - Blame information for rev 1175

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200 Top Level                                            ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  OR1200 Top Level                                            ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 1175 lampret
// Revision 1.10.4.1  2003/07/08 15:36:37  lampret
48
// Added embedded memory QMEM.
49
//
50 1171 lampret
// Revision 1.10  2002/12/08 08:57:56  lampret
51
// Added optional support for WB B3 specification (xwb_cti_o, xwb_bte_o). Made xwb_cab_o optional.
52
//
53 1104 lampret
// Revision 1.9  2002/10/17 20:04:41  lampret
54
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
55
//
56 1063 lampret
// Revision 1.8  2002/08/18 19:54:22  lampret
57
// Added store buffer.
58
//
59 977 lampret
// Revision 1.7  2002/07/14 22:17:17  lampret
60
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
61
//
62 895 lampret
// Revision 1.6  2002/03/29 15:16:56  lampret
63
// Some of the warnings fixed.
64
//
65 788 lampret
// Revision 1.5  2002/02/11 04:33:17  lampret
66
// Speed optimizations (removed duplicate _cyc_ and _stb_). Fixed D/IMMU cache-inhibit attr.
67
//
68 660 lampret
// Revision 1.4  2002/02/01 19:56:55  lampret
69
// Fixed combinational loops.
70
//
71 636 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
72
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
73
//
74 617 lampret
// Revision 1.2  2002/01/18 07:56:00  lampret
75
// No more low/high priority interrupts (PICPR removed). Added tick timer exception. Added exception prefix (SR[EPH]). Fixed single-step bug whenreading NPC.
76
//
77 589 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
78
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
79
//
80 504 lampret
// Revision 1.13  2001/11/23 08:38:51  lampret
81
// Changed DSR/DRR behavior and exception detection.
82
//
83
// Revision 1.12  2001/11/20 00:57:22  lampret
84
// Fixed width of du_except.
85
//
86
// Revision 1.11  2001/11/18 08:36:28  lampret
87
// For GDB changed single stepping and disabled trap exception.
88
//
89
// Revision 1.10  2001/10/21 17:57:16  lampret
90
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
91
//
92
// Revision 1.9  2001/10/14 13:12:10  lampret
93
// MP3 version.
94
//
95
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
96
// no message
97
//
98
// Revision 1.4  2001/08/13 03:36:20  lampret
99
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
100
//
101
// Revision 1.3  2001/08/09 13:39:33  lampret
102
// Major clean-up.
103
//
104
// Revision 1.2  2001/07/22 03:31:54  lampret
105
// Fixed RAM's oen bug. Cache bypass under development.
106
//
107
// Revision 1.1  2001/07/20 00:46:21  lampret
108
// Development version of RTL. Libraries are missing.
109
//
110
//
111
 
112
// synopsys translate_off
113
`include "timescale.v"
114
// synopsys translate_on
115
`include "or1200_defines.v"
116
 
117
module or1200_top(
118
        // System
119
        clk_i, rst_i, pic_ints_i, clmode_i,
120
 
121
        // Instruction WISHBONE INTERFACE
122
        iwb_clk_i, iwb_rst_i, iwb_ack_i, iwb_err_i, iwb_rty_i, iwb_dat_i,
123 1104 lampret
        iwb_cyc_o, iwb_adr_o, iwb_stb_o, iwb_we_o, iwb_sel_o, iwb_dat_o,
124
`ifdef OR1200_WB_CAB
125
        iwb_cab_o,
126
`endif
127
`ifdef OR1200_WB_B3
128
        iwb_cti_o, iwb_bte_o,
129
`endif
130 504 lampret
        // Data WISHBONE INTERFACE
131
        dwb_clk_i, dwb_rst_i, dwb_ack_i, dwb_err_i, dwb_rty_i, dwb_dat_i,
132 1104 lampret
        dwb_cyc_o, dwb_adr_o, dwb_stb_o, dwb_we_o, dwb_sel_o, dwb_dat_o,
133
`ifdef OR1200_WB_CAB
134
        dwb_cab_o,
135
`endif
136
`ifdef OR1200_WB_B3
137
        dwb_cti_o, dwb_bte_o,
138
`endif
139 504 lampret
 
140
        // External Debug Interface
141
        dbg_stall_i, dbg_dat_i, dbg_adr_i, dbg_op_i, dbg_ewt_i,
142
        dbg_lss_o, dbg_is_o, dbg_wp_o, dbg_bp_o, dbg_dat_o,
143
 
144 1063 lampret
`ifdef OR1200_BIST
145
        // RAM BIST
146
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
147
`endif
148 504 lampret
        // Power Management
149
        pm_cpustall_i,
150
        pm_clksd_o, pm_dc_gate_o, pm_ic_gate_o, pm_dmmu_gate_o,
151
        pm_immu_gate_o, pm_tt_gate_o, pm_cpu_gate_o, pm_wakeup_o, pm_lvolt_o
152
 
153
);
154
 
155
parameter dw = `OR1200_OPERAND_WIDTH;
156
parameter aw = `OR1200_OPERAND_WIDTH;
157
parameter ppic_ints = `OR1200_PIC_INTS;
158
 
159
//
160
// I/O
161
//
162
 
163
//
164
// System
165
//
166
input                   clk_i;
167
input                   rst_i;
168
input   [1:0]            clmode_i;       // 00 WB=RISC, 01 WB=RISC/2, 10 N/A, 11 WB=RISC/4
169
input   [ppic_ints-1:0]  pic_ints_i;
170
 
171
//
172
// Instruction WISHBONE interface
173
//
174
input                   iwb_clk_i;      // clock input
175
input                   iwb_rst_i;      // reset input
176
input                   iwb_ack_i;      // normal termination
177
input                   iwb_err_i;      // termination w/ error
178
input                   iwb_rty_i;      // termination w/ retry
179
input   [dw-1:0] iwb_dat_i;      // input data bus
180
output                  iwb_cyc_o;      // cycle valid output
181
output  [aw-1:0] iwb_adr_o;      // address bus outputs
182
output                  iwb_stb_o;      // strobe output
183
output                  iwb_we_o;       // indicates write transfer
184
output  [3:0]            iwb_sel_o;      // byte select outputs
185 1104 lampret
output  [dw-1:0] iwb_dat_o;      // output data bus
186
`ifdef OR1200_WB_CAB
187 504 lampret
output                  iwb_cab_o;      // indicates consecutive address burst
188 1104 lampret
`endif
189
`ifdef OR1200_WB_B3
190
output  [2:0]            iwb_cti_o;      // cycle type identifier
191
output  [1:0]            iwb_bte_o;      // burst type extension
192
`endif
193 504 lampret
 
194
//
195
// Data WISHBONE interface
196
//
197
input                   dwb_clk_i;      // clock input
198
input                   dwb_rst_i;      // reset input
199
input                   dwb_ack_i;      // normal termination
200
input                   dwb_err_i;      // termination w/ error
201
input                   dwb_rty_i;      // termination w/ retry
202
input   [dw-1:0] dwb_dat_i;      // input data bus
203
output                  dwb_cyc_o;      // cycle valid output
204
output  [aw-1:0] dwb_adr_o;      // address bus outputs
205
output                  dwb_stb_o;      // strobe output
206
output                  dwb_we_o;       // indicates write transfer
207
output  [3:0]            dwb_sel_o;      // byte select outputs
208 1104 lampret
output  [dw-1:0] dwb_dat_o;      // output data bus
209
`ifdef OR1200_WB_CAB
210 504 lampret
output                  dwb_cab_o;      // indicates consecutive address burst
211 1104 lampret
`endif
212
`ifdef OR1200_WB_B3
213
output  [2:0]            dwb_cti_o;      // cycle type identifier
214
output  [1:0]            dwb_bte_o;      // burst type extension
215
`endif
216 504 lampret
 
217
//
218
// External Debug Interface
219
//
220
input                   dbg_stall_i;    // External Stall Input
221
input   [dw-1:0] dbg_dat_i;      // External Data Input
222
input   [aw-1:0] dbg_adr_i;      // External Address Input
223
input   [2:0]            dbg_op_i;       // External Operation Select Input
224
input                   dbg_ewt_i;      // External Watchpoint Trigger Input
225
output  [3:0]            dbg_lss_o;      // External Load/Store Unit Status
226
output  [1:0]            dbg_is_o;       // External Insn Fetch Status
227
output  [10:0]           dbg_wp_o;       // Watchpoints Outputs
228
output                  dbg_bp_o;       // Breakpoint Output
229
output  [dw-1:0] dbg_dat_o;      // External Data Output
230
 
231 1063 lampret
`ifdef OR1200_BIST
232 504 lampret
//
233 1063 lampret
// RAM BIST
234
//
235
input                   scanb_rst,
236
                        scanb_si,
237
                        scanb_en,
238
                        scanb_clk;
239
output                  scanb_so;
240
`endif
241
 
242
//
243 504 lampret
// Power Management
244
//
245
input                   pm_cpustall_i;
246
output  [3:0]            pm_clksd_o;
247
output                  pm_dc_gate_o;
248
output                  pm_ic_gate_o;
249
output                  pm_dmmu_gate_o;
250
output                  pm_immu_gate_o;
251
output                  pm_tt_gate_o;
252
output                  pm_cpu_gate_o;
253
output                  pm_wakeup_o;
254
output                  pm_lvolt_o;
255
 
256
 
257
//
258
// Internal wires and regs
259
//
260
 
261
//
262 977 lampret
// DC to SB
263 504 lampret
//
264 977 lampret
wire    [dw-1:0] dcsb_dat_dc;
265
wire    [aw-1:0] dcsb_adr_dc;
266
wire                    dcsb_cyc_dc;
267
wire                    dcsb_stb_dc;
268
wire                    dcsb_we_dc;
269
wire    [3:0]            dcsb_sel_dc;
270
wire                    dcsb_cab_dc;
271
wire    [dw-1:0] dcsb_dat_sb;
272
wire                    dcsb_ack_sb;
273
wire                    dcsb_err_sb;
274 504 lampret
 
275
//
276 977 lampret
// SB to BIU
277
//
278
wire    [dw-1:0] sbbiu_dat_sb;
279
wire    [aw-1:0] sbbiu_adr_sb;
280
wire                    sbbiu_cyc_sb;
281
wire                    sbbiu_stb_sb;
282
wire                    sbbiu_we_sb;
283
wire    [3:0]            sbbiu_sel_sb;
284
wire                    sbbiu_cab_sb;
285
wire    [dw-1:0] sbbiu_dat_biu;
286
wire                    sbbiu_ack_biu;
287
wire                    sbbiu_err_biu;
288
 
289
//
290 504 lampret
// IC to BIU
291
//
292
wire    [dw-1:0] icbiu_dat_ic;
293
wire    [aw-1:0] icbiu_adr_ic;
294
wire                    icbiu_cyc_ic;
295
wire                    icbiu_stb_ic;
296
wire                    icbiu_we_ic;
297
wire    [3:0]            icbiu_sel_ic;
298
wire    [3:0]            icbiu_tag_ic;
299 1175 lampret
wire                    icbiu_cab_ic;
300 504 lampret
wire    [dw-1:0] icbiu_dat_biu;
301
wire                    icbiu_ack_biu;
302
wire                    icbiu_err_biu;
303
wire    [3:0]            icbiu_tag_biu;
304
 
305
//
306
// CPU's SPR access to various RISC units (shared wires)
307
//
308
wire                    supv;
309
wire    [aw-1:0] spr_addr;
310
wire    [dw-1:0] spr_dat_cpu;
311
wire    [31:0]           spr_cs;
312
wire                    spr_we;
313
 
314
//
315
// DMMU and CPU
316
//
317
wire                    dmmu_en;
318
wire    [31:0]           spr_dat_dmmu;
319
 
320
//
321 1171 lampret
// DMMU and QMEM
322 504 lampret
//
323 1171 lampret
wire                    qmemdmmu_err_qmem;
324
wire    [3:0]            qmemdmmu_tag_qmem;
325
wire    [aw-1:0] qmemdmmu_adr_dmmu;
326
wire                    qmemdmmu_cycstb_dmmu;
327
wire                    qmemdmmu_ci_dmmu;
328 504 lampret
 
329
//
330
// CPU and data memory subsystem
331
//
332
wire                    dc_en;
333
wire    [31:0]           dcpu_adr_cpu;
334 1175 lampret
wire                    dcpu_cycstb_cpu;
335 504 lampret
wire                    dcpu_we_cpu;
336
wire    [3:0]            dcpu_sel_cpu;
337
wire    [3:0]            dcpu_tag_cpu;
338
wire    [31:0]           dcpu_dat_cpu;
339 1171 lampret
wire    [31:0]           dcpu_dat_qmem;
340
wire                    dcpu_ack_qmem;
341
wire                    dcpu_rty_qmem;
342 504 lampret
wire                    dcpu_err_dmmu;
343
wire    [3:0]            dcpu_tag_dmmu;
344
 
345
//
346
// IMMU and CPU
347
//
348
wire                    immu_en;
349
wire    [31:0]           spr_dat_immu;
350
 
351
//
352
// CPU and insn memory subsystem
353
//
354
wire                    ic_en;
355
wire    [31:0]           icpu_adr_cpu;
356 660 lampret
wire                    icpu_cycstb_cpu;
357 504 lampret
wire    [3:0]            icpu_sel_cpu;
358
wire    [3:0]            icpu_tag_cpu;
359 1171 lampret
wire    [31:0]           icpu_dat_qmem;
360
wire                    icpu_ack_qmem;
361 504 lampret
wire    [31:0]           icpu_adr_immu;
362
wire                    icpu_err_immu;
363
wire    [3:0]            icpu_tag_immu;
364 1175 lampret
wire                    icpu_rty_immu;
365 504 lampret
 
366
//
367 1171 lampret
// IMMU and QMEM
368 504 lampret
//
369 1171 lampret
wire    [aw-1:0] qmemimmu_adr_immu;
370
wire                    qmemimmu_rty_qmem;
371
wire                    qmemimmu_err_qmem;
372
wire    [3:0]            qmemimmu_tag_qmem;
373
wire                    qmemimmu_cycstb_immu;
374
wire                    qmemimmu_ci_immu;
375 504 lampret
 
376
//
377 1171 lampret
// QMEM and IC
378
//
379
wire    [aw-1:0] icqmem_adr_qmem;
380
wire                    icqmem_rty_ic;
381
wire                    icqmem_err_ic;
382
wire    [3:0]            icqmem_tag_ic;
383
wire                    icqmem_cycstb_qmem;
384
wire                    icqmem_ci_qmem;
385
wire    [31:0]           icqmem_dat_ic;
386
wire                    icqmem_ack_ic;
387
 
388
//
389
// QMEM and DC
390
//
391
wire    [aw-1:0] dcqmem_adr_qmem;
392
wire                    dcqmem_rty_dc;
393
wire                    dcqmem_err_dc;
394
wire    [3:0]            dcqmem_tag_dc;
395
wire                    dcqmem_cycstb_qmem;
396
wire                    dcqmem_ci_qmem;
397
wire    [31:0]           dcqmem_dat_dc;
398
wire    [31:0]           dcqmem_dat_qmem;
399
wire                    dcqmem_we_qmem;
400
wire    [3:0]            dcqmem_sel_qmem;
401
wire                    dcqmem_ack_dc;
402
 
403
//
404 504 lampret
// Connection between CPU and PIC
405
//
406
wire    [dw-1:0] spr_dat_pic;
407
wire                    pic_wakeup;
408 589 lampret
wire                    sig_int;
409 504 lampret
 
410
//
411
// Connection between CPU and PM
412
//
413
wire    [dw-1:0] spr_dat_pm;
414
 
415
//
416
// CPU and TT
417
//
418
wire    [dw-1:0] spr_dat_tt;
419 589 lampret
wire                    sig_tick;
420 504 lampret
 
421
//
422
// Debug port and caches/MMUs
423
//
424
wire    [dw-1:0] spr_dat_du;
425
wire                    du_stall;
426
wire    [dw-1:0] du_addr;
427
wire    [dw-1:0] du_dat_du;
428
wire                    du_read;
429
wire                    du_write;
430
wire    [12:0]           du_except;
431
wire    [`OR1200_DU_DSR_WIDTH-1:0]     du_dsr;
432 636 lampret
wire    [dw-1:0] du_dat_cpu;
433 504 lampret
 
434
wire                    ex_freeze;
435
wire    [31:0]           ex_insn;
436
wire    [`OR1200_BRANCHOP_WIDTH-1:0]     branch_op;
437 895 lampret
wire    [31:0]           spr_dat_npc;
438
wire    [31:0]           rf_dataw;
439 504 lampret
 
440 1063 lampret
`ifdef OR1200_BIST
441
//
442
// RAM BIST
443
//
444
wire                    scanb_immu_so;
445
wire                    scanb_ic_so;
446
wire                    scanb_dmmu_so;
447
wire                    scanb_dc_so;
448
wire                    scanb_immu_si = scanb_si;
449
wire                    scanb_ic_si = scanb_immu_so;
450 1171 lampret
wire                    scanb_qmem_si = scanb_ic_so;
451
wire                    scanb_dmmu_si = scanb_qmem_so;
452 1063 lampret
wire                    scanb_dc_si = scanb_dmmu_so;
453
assign                  scanb_so = scanb_dc_so;
454
`endif
455 895 lampret
 
456 1063 lampret
 
457 504 lampret
//
458
// Instantiation of Instruction WISHBONE BIU
459
//
460
or1200_wb_biu iwb_biu(
461
        // RISC clk, rst and clock control
462
        .clk(clk_i),
463
        .rst(rst_i),
464
        .clmode(clmode_i),
465
 
466
        // WISHBONE interface
467
        .wb_clk_i(iwb_clk_i),
468
        .wb_rst_i(iwb_rst_i),
469
        .wb_ack_i(iwb_ack_i),
470
        .wb_err_i(iwb_err_i),
471
        .wb_rty_i(iwb_rty_i),
472
        .wb_dat_i(iwb_dat_i),
473
        .wb_cyc_o(iwb_cyc_o),
474
        .wb_adr_o(iwb_adr_o),
475
        .wb_stb_o(iwb_stb_o),
476
        .wb_we_o(iwb_we_o),
477
        .wb_sel_o(iwb_sel_o),
478 1104 lampret
        .wb_dat_o(iwb_dat_o),
479
`ifdef OR1200_WB_CAB
480 504 lampret
        .wb_cab_o(iwb_cab_o),
481 1104 lampret
`endif
482
`ifdef OR1200_WB_B3
483
        .wb_cti_o(iwb_cti_o),
484
        .wb_bte_o(iwb_bte_o),
485
`endif
486 504 lampret
 
487
        // Internal RISC bus
488
        .biu_dat_i(icbiu_dat_ic),
489
        .biu_adr_i(icbiu_adr_ic),
490
        .biu_cyc_i(icbiu_cyc_ic),
491
        .biu_stb_i(icbiu_stb_ic),
492
        .biu_we_i(icbiu_we_ic),
493
        .biu_sel_i(icbiu_sel_ic),
494
        .biu_cab_i(icbiu_cab_ic),
495
        .biu_dat_o(icbiu_dat_biu),
496
        .biu_ack_o(icbiu_ack_biu),
497
        .biu_err_o(icbiu_err_biu)
498
);
499
 
500
//
501
// Instantiation of Data WISHBONE BIU
502
//
503
or1200_wb_biu dwb_biu(
504
        // RISC clk, rst and clock control
505
        .clk(clk_i),
506
        .rst(rst_i),
507
        .clmode(clmode_i),
508
 
509
        // WISHBONE interface
510
        .wb_clk_i(dwb_clk_i),
511
        .wb_rst_i(dwb_rst_i),
512
        .wb_ack_i(dwb_ack_i),
513
        .wb_err_i(dwb_err_i),
514
        .wb_rty_i(dwb_rty_i),
515
        .wb_dat_i(dwb_dat_i),
516
        .wb_cyc_o(dwb_cyc_o),
517
        .wb_adr_o(dwb_adr_o),
518
        .wb_stb_o(dwb_stb_o),
519
        .wb_we_o(dwb_we_o),
520
        .wb_sel_o(dwb_sel_o),
521 1104 lampret
        .wb_dat_o(dwb_dat_o),
522
`ifdef OR1200_WB_CAB
523 504 lampret
        .wb_cab_o(dwb_cab_o),
524 1104 lampret
`endif
525
`ifdef OR1200_WB_B3
526
        .wb_cti_o(dwb_cti_o),
527
        .wb_bte_o(dwb_bte_o),
528
`endif
529 504 lampret
 
530
        // Internal RISC bus
531 977 lampret
        .biu_dat_i(sbbiu_dat_sb),
532
        .biu_adr_i(sbbiu_adr_sb),
533
        .biu_cyc_i(sbbiu_cyc_sb),
534
        .biu_stb_i(sbbiu_stb_sb),
535
        .biu_we_i(sbbiu_we_sb),
536
        .biu_sel_i(sbbiu_sel_sb),
537
        .biu_cab_i(sbbiu_cab_sb),
538
        .biu_dat_o(sbbiu_dat_biu),
539
        .biu_ack_o(sbbiu_ack_biu),
540
        .biu_err_o(sbbiu_err_biu)
541 504 lampret
);
542
 
543
//
544
// Instantiation of IMMU
545
//
546
or1200_immu_top or1200_immu_top(
547
        // Rst and clk
548
        .clk(clk_i),
549
        .rst(rst_i),
550
 
551 1063 lampret
`ifdef OR1200_BIST
552
        // RAM BIST
553
        .scanb_rst(scanb_rst),
554
        .scanb_si(scanb_immu_si),
555
        .scanb_so(scanb_immu_so),
556
        .scanb_en(scanb_en),
557
        .scanb_clk(scanb_clk),
558
`endif
559
 
560 1171 lampret
        // CPU and IMMU
561 504 lampret
        .ic_en(ic_en),
562
        .immu_en(immu_en),
563
        .supv(supv),
564
        .icpu_adr_i(icpu_adr_cpu),
565 660 lampret
        .icpu_cycstb_i(icpu_cycstb_cpu),
566 504 lampret
        .icpu_adr_o(icpu_adr_immu),
567
        .icpu_tag_o(icpu_tag_immu),
568 617 lampret
        .icpu_rty_o(icpu_rty_immu),
569 504 lampret
        .icpu_err_o(icpu_err_immu),
570
 
571
        // SPR access
572
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IMMU]),
573
        .spr_write(spr_we),
574
        .spr_addr(spr_addr),
575
        .spr_dat_i(spr_dat_cpu),
576
        .spr_dat_o(spr_dat_immu),
577
 
578 1171 lampret
        // QMEM and IMMU
579
        .qmemimmu_rty_i(qmemimmu_rty_qmem),
580
        .qmemimmu_err_i(qmemimmu_err_qmem),
581
        .qmemimmu_tag_i(qmemimmu_tag_qmem),
582
        .qmemimmu_adr_o(qmemimmu_adr_immu),
583
        .qmemimmu_cycstb_o(qmemimmu_cycstb_immu),
584
        .qmemimmu_ci_o(qmemimmu_ci_immu)
585 504 lampret
);
586
 
587
//
588
// Instantiation of Instruction Cache
589
//
590
or1200_ic_top or1200_ic_top(
591
        .clk(clk_i),
592
        .rst(rst_i),
593
 
594 1063 lampret
`ifdef OR1200_BIST
595
        // RAM BIST
596
        .scanb_rst(scanb_rst),
597
        .scanb_si(scanb_ic_si),
598
        .scanb_so(scanb_ic_so),
599
        .scanb_en(scanb_en),
600
        .scanb_clk(scanb_clk),
601
`endif
602
 
603 1171 lampret
        // IC and QMEM
604 504 lampret
        .ic_en(ic_en),
605 1171 lampret
        .icqmem_adr_i(icqmem_adr_qmem),
606
        .icqmem_cycstb_i(icqmem_cycstb_qmem),
607
        .icqmem_ci_i(icqmem_ci_qmem),
608
        .icqmem_sel_i(icqmem_sel_qmem),
609
        .icqmem_tag_i(icqmem_tag_qmem),
610
        .icqmem_dat_o(icqmem_dat_ic),
611
        .icqmem_ack_o(icqmem_ack_ic),
612
        .icqmem_rty_o(icqmem_rty_ic),
613
        .icqmem_err_o(icqmem_err_ic),
614
        .icqmem_tag_o(icqmem_tag_ic),
615 504 lampret
 
616
        // SPR access
617
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_IC]),
618
        .spr_write(spr_we),
619
        .spr_dat_i(spr_dat_cpu),
620
 
621
        // IC and BIU
622
        .icbiu_dat_o(icbiu_dat_ic),
623
        .icbiu_adr_o(icbiu_adr_ic),
624
        .icbiu_cyc_o(icbiu_cyc_ic),
625
        .icbiu_stb_o(icbiu_stb_ic),
626
        .icbiu_we_o(icbiu_we_ic),
627
        .icbiu_sel_o(icbiu_sel_ic),
628
        .icbiu_cab_o(icbiu_cab_ic),
629
        .icbiu_dat_i(icbiu_dat_biu),
630
        .icbiu_ack_i(icbiu_ack_biu),
631
        .icbiu_err_i(icbiu_err_biu)
632
);
633
 
634
//
635
// Instantiation of Instruction Cache
636
//
637
or1200_cpu or1200_cpu(
638
        .clk(clk_i),
639
        .rst(rst_i),
640
 
641 1171 lampret
        // Connection QMEM and IFETCHER inside CPU
642 504 lampret
        .ic_en(ic_en),
643
        .icpu_adr_o(icpu_adr_cpu),
644 660 lampret
        .icpu_cycstb_o(icpu_cycstb_cpu),
645 504 lampret
        .icpu_sel_o(icpu_sel_cpu),
646
        .icpu_tag_o(icpu_tag_cpu),
647 1171 lampret
        .icpu_dat_i(icpu_dat_qmem),
648
        .icpu_ack_i(icpu_ack_qmem),
649 617 lampret
        .icpu_rty_i(icpu_rty_immu),
650 504 lampret
        .icpu_adr_i(icpu_adr_immu),
651
        .icpu_err_i(icpu_err_immu),
652
        .icpu_tag_i(icpu_tag_immu),
653
 
654
        // Connection CPU to external Debug port
655
        .ex_freeze(ex_freeze),
656
        .ex_insn(ex_insn),
657
        .branch_op(branch_op),
658
        .du_stall(du_stall),
659
        .du_addr(du_addr),
660
        .du_dat_du(du_dat_du),
661
        .du_read(du_read),
662
        .du_write(du_write),
663
        .du_dsr(du_dsr),
664
        .du_except(du_except),
665 636 lampret
        .du_dat_cpu(du_dat_cpu),
666 895 lampret
        .rf_dataw(rf_dataw),
667 504 lampret
 
668 895 lampret
 
669 504 lampret
        // Connection IMMU and CPU internally
670
        .immu_en(immu_en),
671
 
672 1171 lampret
        // Connection QMEM and CPU
673 504 lampret
        .dc_en(dc_en),
674
        .dcpu_adr_o(dcpu_adr_cpu),
675 660 lampret
        .dcpu_cycstb_o(dcpu_cycstb_cpu),
676 504 lampret
        .dcpu_we_o(dcpu_we_cpu),
677
        .dcpu_sel_o(dcpu_sel_cpu),
678
        .dcpu_tag_o(dcpu_tag_cpu),
679
        .dcpu_dat_o(dcpu_dat_cpu),
680 1171 lampret
        .dcpu_dat_i(dcpu_dat_qmem),
681
        .dcpu_ack_i(dcpu_ack_qmem),
682
        .dcpu_rty_i(dcpu_rty_qmem),
683 504 lampret
        .dcpu_err_i(dcpu_err_dmmu),
684
        .dcpu_tag_i(dcpu_tag_dmmu),
685
 
686
        // Connection DMMU and CPU internally
687
        .dmmu_en(dmmu_en),
688
 
689
        // Connection PIC and CPU's EXCEPT
690 589 lampret
        .sig_int(sig_int),
691
        .sig_tick(sig_tick),
692 504 lampret
 
693
        // SPRs
694
        .supv(supv),
695
        .spr_addr(spr_addr),
696 636 lampret
        .spr_dat_cpu(spr_dat_cpu),
697 504 lampret
        .spr_dat_pic(spr_dat_pic),
698
        .spr_dat_tt(spr_dat_tt),
699
        .spr_dat_pm(spr_dat_pm),
700
        .spr_dat_dmmu(spr_dat_dmmu),
701
        .spr_dat_immu(spr_dat_immu),
702
        .spr_dat_du(spr_dat_du),
703 895 lampret
        .spr_dat_npc(spr_dat_npc),
704 504 lampret
        .spr_cs(spr_cs),
705
        .spr_we(spr_we)
706
);
707
 
708
//
709
// Instantiation of DMMU
710
//
711
or1200_dmmu_top or1200_dmmu_top(
712
        // Rst and clk
713
        .clk(clk_i),
714
        .rst(rst_i),
715
 
716 1063 lampret
`ifdef OR1200_BIST
717
        // RAM BIST
718
        .scanb_rst(scanb_rst),
719
        .scanb_si(scanb_dmmu_si),
720
        .scanb_so(scanb_dmmu_so),
721
        .scanb_en(scanb_en),
722
        .scanb_clk(scanb_clk),
723
`endif
724
 
725 504 lampret
        // CPU i/f
726
        .dc_en(dc_en),
727
        .dmmu_en(dmmu_en),
728
        .supv(supv),
729
        .dcpu_adr_i(dcpu_adr_cpu),
730 660 lampret
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
731 504 lampret
        .dcpu_we_i(dcpu_we_cpu),
732
        .dcpu_tag_o(dcpu_tag_dmmu),
733
        .dcpu_err_o(dcpu_err_dmmu),
734
 
735
        // SPR access
736
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DMMU]),
737
        .spr_write(spr_we),
738
        .spr_addr(spr_addr),
739
        .spr_dat_i(spr_dat_cpu),
740
        .spr_dat_o(spr_dat_dmmu),
741
 
742 1171 lampret
        // QMEM and DMMU
743
        .qmemdmmu_err_i(qmemdmmu_err_qmem),
744
        .qmemdmmu_tag_i(qmemdmmu_tag_qmem),
745
        .qmemdmmu_adr_o(qmemdmmu_adr_dmmu),
746
        .qmemdmmu_cycstb_o(qmemdmmu_cycstb_dmmu),
747
        .qmemdmmu_ci_o(qmemdmmu_ci_dmmu)
748 504 lampret
);
749
 
750
//
751
// Instantiation of Data Cache
752
//
753
or1200_dc_top or1200_dc_top(
754
        .clk(clk_i),
755
        .rst(rst_i),
756
 
757 1063 lampret
`ifdef OR1200_BIST
758
        // RAM BIST
759
        .scanb_rst(scanb_rst),
760
        .scanb_si(scanb_dc_si),
761
        .scanb_so(scanb_dc_so),
762
        .scanb_en(scanb_en),
763
        .scanb_clk(scanb_clk),
764
`endif
765
 
766 1171 lampret
        // DC and QMEM
767 504 lampret
        .dc_en(dc_en),
768 1171 lampret
        .dcqmem_adr_i(dcqmem_adr_qmem),
769
        .dcqmem_cycstb_i(dcqmem_cycstb_qmem),
770
        .dcqmem_ci_i(dcqmem_ci_qmem),
771
        .dcqmem_we_i(dcqmem_we_qmem),
772
        .dcqmem_sel_i(dcqmem_sel_qmem),
773
        .dcqmem_tag_i(dcqmem_tag_qmem),
774
        .dcqmem_dat_i(dcqmem_dat_qmem),
775
        .dcqmem_dat_o(dcqmem_dat_dc),
776
        .dcqmem_ack_o(dcqmem_ack_dc),
777
        .dcqmem_rty_o(dcqmem_rty_dc),
778
        .dcqmem_err_o(dcqmem_err_dc),
779
        .dcqmem_tag_o(dcqmem_tag_dc),
780 504 lampret
 
781
        // SPR access
782
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DC]),
783
        .spr_write(spr_we),
784
        .spr_dat_i(spr_dat_cpu),
785
 
786
        // DC and BIU
787 977 lampret
        .dcsb_dat_o(dcsb_dat_dc),
788
        .dcsb_adr_o(dcsb_adr_dc),
789
        .dcsb_cyc_o(dcsb_cyc_dc),
790
        .dcsb_stb_o(dcsb_stb_dc),
791
        .dcsb_we_o(dcsb_we_dc),
792
        .dcsb_sel_o(dcsb_sel_dc),
793
        .dcsb_cab_o(dcsb_cab_dc),
794
        .dcsb_dat_i(dcsb_dat_sb),
795
        .dcsb_ack_i(dcsb_ack_sb),
796
        .dcsb_err_i(dcsb_err_sb)
797 504 lampret
);
798
 
799
//
800 1171 lampret
// Instantiation of embedded memory - qmem
801
//
802
or1200_qmem_top or1200_qmem_top(
803
        .clk(clk_i),
804
        .rst(rst_i),
805
 
806
`ifdef OR1200_BIST
807
        // RAM BIST
808
        .scanb_rst(scanb_rst),
809
        .scanb_si(scanb_qmem_si),
810
        .scanb_so(scanb_qmem_so),
811
        .scanb_en(scanb_en),
812
        .scanb_clk(scanb_clk),
813
`endif
814
 
815
        // QMEM and CPU/IMMU
816
        .qmemimmu_adr_i(qmemimmu_adr_immu),
817
        .qmemimmu_cycstb_i(qmemimmu_cycstb_immu),
818
        .qmemimmu_ci_i(qmemimmu_ci_immu),
819
        .qmemicpu_sel_i(icpu_sel_cpu),
820
        .qmemicpu_tag_i(icpu_tag_cpu),
821
        .qmemicpu_dat_o(icpu_dat_qmem),
822
        .qmemicpu_ack_o(icpu_ack_qmem),
823
        .qmemimmu_rty_o(qmemimmu_rty_qmem),
824
        .qmemimmu_err_o(qmemimmu_err_qmem),
825
        .qmemimmu_tag_o(qmemimmu_tag_qmem),
826
 
827
        // QMEM and IC
828
        .icqmem_adr_o(icqmem_adr_qmem),
829
        .icqmem_cycstb_o(icqmem_cycstb_qmem),
830
        .icqmem_ci_o(icqmem_ci_qmem),
831
        .icqmem_sel_o(icqmem_sel_qmem),
832
        .icqmem_tag_o(icqmem_tag_qmem),
833
        .icqmem_dat_i(icqmem_dat_ic),
834
        .icqmem_ack_i(icqmem_ack_ic),
835
        .icqmem_rty_i(icqmem_rty_ic),
836
        .icqmem_err_i(icqmem_err_ic),
837
        .icqmem_tag_i(icqmem_tag_ic),
838
 
839
        // QMEM and CPU/DMMU
840
        .qmemdmmu_adr_i(qmemdmmu_adr_dmmu),
841
        .qmemdmmu_cycstb_i(qmemdmmu_cycstb_dmmu),
842
        .qmemdmmu_ci_i(qmemdmmu_ci_dmmu),
843
        .qmemdcpu_we_i(dcpu_we_cpu),
844
        .qmemdcpu_sel_i(dcpu_sel_cpu),
845
        .qmemdcpu_tag_i(dcpu_tag_cpu),
846
        .qmemdcpu_dat_i(dcpu_dat_cpu),
847
        .qmemdcpu_dat_o(dcpu_dat_qmem),
848
        .qmemdcpu_ack_o(dcpu_ack_qmem),
849
        .qmemdcpu_rty_o(dcpu_rty_qmem),
850
        .qmemdmmu_err_o(qmemdmmu_err_qmem),
851
        .qmemdmmu_tag_o(qmemdmmu_tag_qmem),
852
 
853
        // QMEM and DC
854
        .dcqmem_adr_o(dcqmem_adr_qmem),
855
        .dcqmem_cycstb_o(dcqmem_cycstb_qmem),
856
        .dcqmem_ci_o(dcqmem_ci_qmem),
857
        .dcqmem_we_o(dcqmem_we_qmem),
858
        .dcqmem_sel_o(dcqmem_sel_qmem),
859
        .dcqmem_tag_o(dcqmem_tag_qmem),
860
        .dcqmem_dat_o(dcqmem_dat_qmem),
861
        .dcqmem_dat_i(dcqmem_dat_dc),
862
        .dcqmem_ack_i(dcqmem_ack_dc),
863
        .dcqmem_rty_i(dcqmem_rty_dc),
864
        .dcqmem_err_i(dcqmem_err_dc),
865
        .dcqmem_tag_i(dcqmem_tag_dc)
866
);
867
 
868
//
869 977 lampret
// Instantiation of Store Buffer
870
//
871
or1200_sb or1200_sb(
872
        // RISC clock, reset
873
        .clk(clk_i),
874
        .rst(rst_i),
875
 
876
        // Internal RISC bus (DC<->SB)
877
        .dcsb_dat_i(dcsb_dat_dc),
878
        .dcsb_adr_i(dcsb_adr_dc),
879
        .dcsb_cyc_i(dcsb_cyc_dc),
880
        .dcsb_stb_i(dcsb_stb_dc),
881
        .dcsb_we_i(dcsb_we_dc),
882
        .dcsb_sel_i(dcsb_sel_dc),
883
        .dcsb_cab_i(dcsb_cab_dc),
884
        .dcsb_dat_o(dcsb_dat_sb),
885
        .dcsb_ack_o(dcsb_ack_sb),
886
        .dcsb_err_o(dcsb_err_sb),
887
 
888
        // SB and BIU
889
        .sbbiu_dat_o(sbbiu_dat_sb),
890
        .sbbiu_adr_o(sbbiu_adr_sb),
891
        .sbbiu_cyc_o(sbbiu_cyc_sb),
892
        .sbbiu_stb_o(sbbiu_stb_sb),
893
        .sbbiu_we_o(sbbiu_we_sb),
894
        .sbbiu_sel_o(sbbiu_sel_sb),
895
        .sbbiu_cab_o(sbbiu_cab_sb),
896
        .sbbiu_dat_i(sbbiu_dat_biu),
897
        .sbbiu_ack_i(sbbiu_ack_biu),
898
        .sbbiu_err_i(sbbiu_err_biu)
899
);
900
 
901
//
902 504 lampret
// Instantiation of Debug Unit
903
//
904
or1200_du or1200_du(
905
        // RISC Internal Interface
906
        .clk(clk_i),
907
        .rst(rst_i),
908 660 lampret
        .dcpu_cycstb_i(dcpu_cycstb_cpu),
909 504 lampret
        .dcpu_we_i(dcpu_we_cpu),
910 660 lampret
        .icpu_cycstb_i(icpu_cycstb_cpu),
911 504 lampret
        .ex_freeze(ex_freeze),
912
        .branch_op(branch_op),
913
        .ex_insn(ex_insn),
914
        .du_dsr(du_dsr),
915
 
916 895 lampret
        // For Trace buffer
917
        .spr_dat_npc(spr_dat_npc),
918
        .rf_dataw(rf_dataw),
919
 
920 504 lampret
        // DU's access to SPR unit
921
        .du_stall(du_stall),
922
        .du_addr(du_addr),
923 636 lampret
        .du_dat_i(du_dat_cpu),
924 504 lampret
        .du_dat_o(du_dat_du),
925
        .du_read(du_read),
926
        .du_write(du_write),
927
        .du_except(du_except),
928
 
929
        // Access to DU's SPRs
930
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_DU]),
931
        .spr_write(spr_we),
932
        .spr_addr(spr_addr),
933
        .spr_dat_i(spr_dat_cpu),
934
        .spr_dat_o(spr_dat_du),
935
 
936
        // External Debug Interface
937
        .dbg_stall_i(dbg_stall_i),
938
        .dbg_dat_i(dbg_dat_i),
939
        .dbg_adr_i(dbg_adr_i),
940
        .dbg_op_i(dbg_op_i),
941
        .dbg_ewt_i(dbg_ewt_i),
942
        .dbg_lss_o(dbg_lss_o),
943
        .dbg_is_o(dbg_is_o),
944
        .dbg_wp_o(dbg_wp_o),
945
        .dbg_bp_o(dbg_bp_o),
946
        .dbg_dat_o(dbg_dat_o)
947
);
948
 
949
//
950
// Programmable interrupt controller
951
//
952
or1200_pic or1200_pic(
953
        // RISC Internal Interface
954
        .clk(clk_i),
955
        .rst(rst_i),
956
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_PIC]),
957
        .spr_write(spr_we),
958
        .spr_addr(spr_addr),
959
        .spr_dat_i(spr_dat_cpu),
960
        .spr_dat_o(spr_dat_pic),
961
        .pic_wakeup(pic_wakeup),
962 589 lampret
        .int(sig_int),
963 504 lampret
 
964
        // PIC Interface
965
        .pic_int(pic_ints_i)
966
);
967
 
968
//
969
// Instantiation of Tick timer
970
//
971
or1200_tt or1200_tt(
972
        // RISC Internal Interface
973
        .clk(clk_i),
974
        .rst(rst_i),
975 617 lampret
        .du_stall(du_stall),
976 504 lampret
        .spr_cs(spr_cs[`OR1200_SPR_GROUP_TT]),
977
        .spr_write(spr_we),
978
        .spr_addr(spr_addr),
979
        .spr_dat_i(spr_dat_cpu),
980
        .spr_dat_o(spr_dat_tt),
981 589 lampret
        .int(sig_tick)
982 504 lampret
);
983
 
984
//
985
// Instantiation of Power Management
986
//
987
or1200_pm or1200_pm(
988
        // RISC Internal Interface
989
        .clk(clk_i),
990
        .rst(rst_i),
991
        .pic_wakeup(pic_wakeup),
992
        .spr_write(spr_we),
993
        .spr_addr(spr_addr),
994
        .spr_dat_i(spr_dat_cpu),
995
        .spr_dat_o(spr_dat_pm),
996
 
997
        // Power Management Interface
998
        .pm_cpustall(pm_cpustall_i),
999
        .pm_clksd(pm_clksd_o),
1000
        .pm_dc_gate(pm_dc_gate_o),
1001
        .pm_ic_gate(pm_ic_gate_o),
1002
        .pm_dmmu_gate(pm_dmmu_gate_o),
1003
        .pm_immu_gate(pm_immu_gate_o),
1004
        .pm_tt_gate(pm_tt_gate_o),
1005
        .pm_cpu_gate(pm_cpu_gate_o),
1006
        .pm_wakeup(pm_wakeup_o),
1007
        .pm_lvolt(pm_lvolt_o)
1008
);
1009
 
1010
 
1011
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.