OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_26/] [or1200/] [rtl/] [verilog/] [or1200_wbmux.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Write-back Mux                                     ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  CPU's write-back stage of the pipeline                      ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 788 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.8  2001/10/21 17:57:16  lampret
51
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
52
//
53
// Revision 1.7  2001/10/14 13:12:10  lampret
54
// MP3 version.
55
//
56
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
57
// no message
58
//
59
// Revision 1.2  2001/08/09 13:39:33  lampret
60
// Major clean-up.
61
//
62
// Revision 1.1  2001/07/20 00:46:23  lampret
63
// Development version of RTL. Libraries are missing.
64
//
65
//
66
 
67
// synopsys translate_off
68
`include "timescale.v"
69
// synopsys translate_on
70
`include "or1200_defines.v"
71
 
72
module or1200_wbmux(
73
        // Clock and reset
74
        clk, rst,
75
 
76
        // Internal i/f
77
        wb_freeze, rfwb_op,
78
        muxin_a, muxin_b, muxin_c, muxin_d,
79
        muxout, muxreg, muxreg_valid
80
);
81
 
82
parameter width = `OR1200_OPERAND_WIDTH;
83
 
84
//
85
// I/O
86
//
87
 
88
//
89
// Clock and reset
90
//
91
input                           clk;
92
input                           rst;
93
 
94
//
95
// Internal i/f
96
//
97
input                           wb_freeze;
98
input   [`OR1200_RFWBOP_WIDTH-1:0]       rfwb_op;
99
input   [width-1:0]              muxin_a;
100
input   [width-1:0]              muxin_b;
101
input   [width-1:0]              muxin_c;
102
input   [width-1:0]              muxin_d;
103
output  [width-1:0]              muxout;
104
output  [width-1:0]              muxreg;
105
output                          muxreg_valid;
106
 
107
//
108
// Internal wires and regs
109
//
110
reg     [width-1:0]              muxout;
111
reg     [width-1:0]              muxreg;
112
reg                             muxreg_valid;
113
 
114
//
115
// Registered output from the write-back multiplexer
116
//
117
always @(posedge clk or posedge rst) begin
118
        if (rst) begin
119
                muxreg <= #1 32'd0;
120
                muxreg_valid <= #1 1'b0;
121
        end
122
        else if (!wb_freeze) begin
123
                muxreg <= #1 muxout;
124
                muxreg_valid <= #1 rfwb_op[0];
125
        end
126
end
127
 
128
//
129
// Write-back multiplexer
130
//
131
always @(muxin_a or muxin_b or muxin_c or muxin_d or rfwb_op) begin
132 788 lampret
`ifdef OR1200_ADDITIONAL_SYNOPSYS_DIRECTIVES
133
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case infer_mux
134
`else
135
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case
136
`endif
137 504 lampret
                2'b00: muxout = muxin_a;
138
                2'b01: begin
139
                        muxout = muxin_b;
140
`ifdef OR1200_VERBOSE
141
// synopsys translate_off
142
                        $display("  WBMUX: muxin_b %h", muxin_b);
143
// synopsys translate_on
144
`endif
145
                end
146
                2'b10: begin
147
                        muxout = muxin_c;
148
`ifdef OR1200_VERBOSE
149
// synopsys translate_off
150
                        $display("  WBMUX: muxin_c %h", muxin_c);
151
// synopsys translate_on
152
`endif
153
                end
154
                2'b11: begin
155
                        muxout = muxin_d + 4'h8;
156
`ifdef OR1200_VERBOSE
157
// synopsys translate_off
158
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
159
// synopsys translate_on
160
`endif
161
                end
162
        endcase
163
end
164
 
165
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.