OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_29/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 617

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
48
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
49
//
50 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53 504 lampret
// Revision 1.10  2001/11/13 10:02:21  lampret
54
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
55
//
56
// Revision 1.9  2001/10/21 17:57:16  lampret
57
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
58
//
59
// Revision 1.8  2001/10/19 23:28:46  lampret
60
// Fixed some synthesis warnings. Configured with caches and MMUs.
61
//
62
// Revision 1.7  2001/10/14 13:12:09  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
66
// no message
67
//
68
// Revision 1.2  2001/08/09 13:39:33  lampret
69
// Major clean-up.
70
//
71
// Revision 1.1  2001/07/20 00:46:03  lampret
72
// Development version of RTL. Libraries are missing.
73
//
74
//
75
 
76
// synopsys translate_off
77
`include "timescale.v"
78
// synopsys translate_on
79
`include "or1200_defines.v"
80
 
81
`define OR1200_NO_FREEZE        3'd0
82
`define OR1200_FREEZE_BYDC      3'd1
83
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
84
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
85
`define OR1200_WAIT_IC                  3'd4
86
 
87
//
88
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
89
//
90
module or1200_freeze(
91
        // Clock and reset
92
        clk, rst,
93
 
94
        // Internal i/f
95
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
96
        lsu_unstall, du_stall, mac_stall,
97 617 lampret
        force_dslot_fetch, abort_ex,
98 562 lampret
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze
99 504 lampret
);
100
 
101
//
102
// I/O
103
//
104
input                           clk;
105
input                           rst;
106
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
107
input                           flushpipe;
108
input                           extend_flush;
109
input                           lsu_stall;
110
input                           if_stall;
111
input                           lsu_unstall;
112
input                           force_dslot_fetch;
113 617 lampret
input                           abort_ex;
114 504 lampret
input                           du_stall;
115
input                           mac_stall;
116 562 lampret
output                          genpc_freeze;
117 504 lampret
output                          if_freeze;
118
output                          id_freeze;
119
output                          ex_freeze;
120
output                          wb_freeze;
121
 
122
//
123
// Internal wires and regs
124
//
125
wire                            multicycle_freeze;
126
reg     [2:0]                    multicycle_cnt;
127
 
128
//
129
// Pipeline freeze
130
//
131
// Rules how to create freeze signals:
132
// 1. Not overwriting pipeline stages:
133
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
134
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
135
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
136
//
137
// 2. Inserting NOPs in the middle of pipeline only if supported:
138
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
139
// This way NOP is asserted from stage ID into EX stage.
140
//
141 562 lampret
assign genpc_freeze = du_stall | flushpipe;
142 504 lampret
assign if_freeze = id_freeze | extend_flush;
143
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
144
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall;
145
assign ex_freeze = wb_freeze;
146
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
147 617 lampret
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
148 504 lampret
 
149
//
150
// Multicycle freeze
151
//
152
assign multicycle_freeze = |multicycle_cnt;
153
 
154
//
155
// Multicycle counter
156
//
157
always @(posedge clk or posedge rst)
158
        if (rst)
159
                multicycle_cnt <= #1 3'b0;
160
        else if (multicycle_cnt)
161
                multicycle_cnt <= #1 multicycle_cnt - 'd1;
162
        else if (multicycle & !ex_freeze)
163
                multicycle_cnt <= #1 multicycle;
164
 
165
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.