OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_29/] [or1200/] [rtl/] [verilog/] [or1200_immu_top.v] - Blame information for rev 617

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Instruction MMU top level                          ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all IMMU blocks.                           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
48
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
49
//
50 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
51
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
52
//
53 504 lampret
// Revision 1.6  2001/10/21 17:57:16  lampret
54
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
55
//
56
// Revision 1.5  2001/10/14 13:12:09  lampret
57
// MP3 version.
58
//
59
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
60
// no message
61
//
62
// Revision 1.1  2001/08/17 08:03:35  lampret
63
// *** empty log message ***
64
//
65
// Revision 1.2  2001/07/22 03:31:53  lampret
66
// Fixed RAM's oen bug. Cache bypass under development.
67
//
68
// Revision 1.1  2001/07/20 00:46:03  lampret
69
// Development version of RTL. Libraries are missing.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
//
79
// Insn MMU
80
//
81
 
82
module or1200_immu_top(
83
        // Rst and clk
84
        clk, rst,
85
 
86
        // CPU i/f
87
        ic_en, immu_en, supv, icpu_adr_i, icpu_cyc_i, icpu_stb_i,
88 617 lampret
        icpu_adr_o, icpu_tag_o, icpu_rty_o, icpu_err_o,
89 504 lampret
 
90
        // SPR access
91
        spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
92
 
93
        // IC i/f
94 617 lampret
        icimmu_rty_i, icimmu_err_i, icimmu_tag_i, icimmu_adr_o, icimmu_cyc_o, icimmu_stb_o, icimmu_ci_o
95 504 lampret
);
96
 
97
parameter dw = `OR1200_OPERAND_WIDTH;
98
parameter aw = `OR1200_OPERAND_WIDTH;
99
 
100
//
101
// I/O
102
//
103
 
104
//
105
// Clock and reset
106
//
107
input                           clk;
108
input                           rst;
109
 
110
//
111
// CPU I/F
112
//
113
input                           ic_en;
114
input                           immu_en;
115
input                           supv;
116
input   [aw-1:0]         icpu_adr_i;
117
input                           icpu_cyc_i;
118
input                           icpu_stb_i;
119
output  [aw-1:0]         icpu_adr_o;
120
output  [3:0]                    icpu_tag_o;
121 617 lampret
output                          icpu_rty_o;
122 504 lampret
output                          icpu_err_o;
123
 
124
//
125
// SPR access
126
//
127
input                           spr_cs;
128
input                           spr_write;
129
input   [aw-1:0]         spr_addr;
130
input   [31:0]                   spr_dat_i;
131
output  [31:0]                   spr_dat_o;
132
 
133
//
134
// IC I/F
135
//
136 617 lampret
input                           icimmu_rty_i;
137 504 lampret
input                           icimmu_err_i;
138
input   [3:0]                    icimmu_tag_i;
139
output  [aw-1:0]         icimmu_adr_o;
140
output                          icimmu_cyc_o;
141
output                          icimmu_stb_o;
142
output                          icimmu_ci_o;
143
 
144
//
145
// Internal wires and regs
146
//
147
wire                            itlb_spr_access;
148
wire    [31:`OR1200_IMMU_PS]    itlb_ppn;
149
wire                            itlb_hit;
150
wire                            itlb_uxe;
151
wire                            itlb_sxe;
152
wire    [31:0]                   itlb_dat_o;
153
wire                            itlb_en;
154
wire                            itlb_ci;
155
wire                            itlb_done;
156
wire                            fault;
157
wire                            miss;
158
reg     [31:0]                   icpu_adr_o;
159
 
160
//
161
// Implemented bits inside match and translate registers
162
//
163
// itlbwYmrX: vpn 31-10  v 0
164
// itlbwYtrX: ppn 31-10  uxe 7  sxe 6
165
//
166
// itlb memory width:
167
// 19 bits for ppn
168
// 13 bits for vpn
169
// 1 bit for valid
170
// 2 bits for protection
171
// 1 bit for cache inhibit
172
 
173
//
174
// icpu_adr_o
175
//
176
`ifdef OR1200_REGISTERED_OUTPUTS
177
always @(posedge rst or posedge clk)
178
        if (rst)
179
                icpu_adr_o <= #1 32'h0000_0100;
180
        else
181
                icpu_adr_o <= #1 icpu_adr_i;
182
`else
183
Unsupported !!!
184
`endif
185
 
186
`ifdef OR1200_NO_IMMU
187
 
188
//
189
// Put all outputs in inactive state
190
//
191
assign spr_dat_o = 32'h00000000;
192
assign icimmu_adr_o = icpu_adr_i;
193
assign icpu_tag_o = icimmu_tag_i;
194
assign icimmu_cyc_o = icpu_cyc_i;
195
assign icimmu_stb_o = icpu_stb_i;
196 617 lampret
assign icpu_rty_o = icimmu_rty_i;
197 504 lampret
assign icpu_err_o = icimmu_err_i;
198 617 lampret
assign icimmu_ci_o = icpu_adr_i[31];
199 504 lampret
 
200
`else
201
 
202
//
203
// ITLB SPR access
204
//
205
// 1200 - 12FF  itlbmr w0
206
// 1200 - 123F  itlbmr w0 [63:0]
207
//
208
// 1300 - 13FF  itlbtr w0
209
// 1300 - 133F  itlbtr w0 [63:0]
210
//
211
assign itlb_spr_access = spr_cs;
212
 
213
//
214
// Tags:
215
//
216
// OR1200_DTAG_TE - TLB miss Exception
217
// OR1200_DTAG_PE - Page fault Exception
218
//
219
assign icpu_tag_o = miss ? `OR1200_DTAG_TE : fault ? `OR1200_DTAG_PE : icimmu_tag_i;
220
 
221
//
222 617 lampret
// icpu_rty_o
223
//
224
// assign icpu_rty_o = !icpu_err_o & icimmu_rty_i;
225
assign icpu_rty_o = icimmu_rty_i;
226
 
227
//
228 504 lampret
// icpu_err_o
229
//
230
assign icpu_err_o = miss | fault | icimmu_err_i;
231
 
232
//
233 617 lampret
// Assert itlb_done one clock cycle after new address is first presented and tlb is enabled.
234 504 lampret
//
235 617 lampret
assign itlb_done = (icpu_adr_i == icpu_adr_o) & itlb_en;
236 504 lampret
 
237
//
238
// Cut transfer if something goes wrong with translation. If IC is disabled,
239
// use delayed signals.
240
//
241 617 lampret
assign icimmu_cyc_o = (!ic_en & immu_en) ? ~(miss | fault) & itlb_done & icpu_cyc_i : (miss | fault) ? 1'b0 : icpu_cyc_i;
242
assign icimmu_stb_o = (!ic_en & immu_en) ? ~(miss | fault) & itlb_done & icpu_stb_i : (miss | fault) ? 1'b0 : icpu_stb_i;
243 504 lampret
 
244
//
245
// Cache Inhibit
246
//
247 617 lampret
assign icimmu_ci_o = immu_en ? itlb_done & itlb_ci : icpu_adr_i[31];
248 504 lampret
 
249
//
250
// Physical address is either translated virtual address or
251
// simply equal when IMMU is disabled
252
//
253
assign icimmu_adr_o = immu_en ? {itlb_ppn, icpu_adr_i[`OR1200_IMMU_PS-1:0]} : icpu_adr_i;
254
 
255
//
256
// Output to SPRS unit
257
//
258
assign spr_dat_o = itlb_spr_access ? itlb_dat_o : 32'h00000000;
259
 
260
//
261
// Page fault exception logic
262
//
263 617 lampret
assign fault = itlb_done &
264 504 lampret
                        (  (!supv & !itlb_uxe)          // Execute in user mode not enabled
265
                        || (supv & !itlb_sxe));         // Execute in supv mode not enabled
266
 
267
//
268
// TLB Miss exception logic
269
//
270 617 lampret
assign miss = itlb_done & !itlb_hit;
271 504 lampret
 
272
//
273
// ITLB Enable
274
//
275
assign itlb_en = immu_en & icpu_cyc_i & icpu_stb_i;
276
 
277
//
278
// Instantiation of ITLB
279
//
280
or1200_immu_tlb or1200_immu_tlb(
281
        // Rst and clk
282
        .clk(clk),
283
        .rst(rst),
284
 
285
        // I/F for translation
286
        .tlb_en(itlb_en),
287
        .vaddr(icpu_adr_i),
288
        .hit(itlb_hit),
289
        .ppn(itlb_ppn),
290
        .uxe(itlb_uxe),
291
        .sxe(itlb_sxe),
292
        .ci(itlb_ci),
293
 
294
        // SPR access
295
        .spr_cs(itlb_spr_access),
296
        .spr_write(spr_write),
297
        .spr_addr(spr_addr),
298
        .spr_dat_i(spr_dat_i),
299
        .spr_dat_o(itlb_dat_o)
300
);
301
 
302
`endif
303
 
304
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.