OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_29/] [or1200/] [rtl/] [verilog/] [or1200_sb_fifo.v] - Blame information for rev 977

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 977 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Store Buffer FIFO                                  ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Implementation of store buffer FIFO.                        ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - N/A                                                      ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2002 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
//
48
 
49
// synopsys translate_off
50
`include "timescale.v"
51
// synopsys translate_on
52
`include "or1200_defines.v"
53
 
54
module or1200_sb_fifo(
55
        clk_i, rst_i, dat_i, wr_i, rd_i, dat_o, full_o, empty_o
56
);
57
 
58
parameter dw = 32;
59
parameter fw = 2;
60
parameter fl = 4;
61
 
62
//
63
// FIFO signals
64
//
65
input                   clk_i;  // Clock
66
input                   rst_i;  // Reset
67
input   [dw-1:0] dat_i;  // Input data bus
68
input                   wr_i;   // Write request
69
input                   rd_i;   // Read request
70
output                  dat_o;  // Output data bus
71
output                  full_o; // FIFO full
72
output                  empty_o;// FIFO empty
73
 
74
//
75
// Internal regs
76
//
77
reg     [dw:0]           mem [fl-1:0];
78
reg     [dw-1:0] dat_o;
79
reg     [fw+1:0] cntr;
80
reg     [fw-1:0] wr_pntr;
81
reg     [fw-1:0] rd_pntr;
82
reg                     empty_o;
83
reg                     full_o;
84
 
85
always @(posedge clk_i or posedge rst_i)
86
        if (rst_i) begin
87
                full_o <= #1 1'b0;
88
                empty_o <= #1 1'b1;
89
                wr_pntr <= #1 {fw{1'b0}};
90
                rd_pntr <= #1 {fw{1'b0}};
91
                cntr <= #1 {fw+2{1'b0}};
92
                dat_o <= #1 {dw{1'b0}};
93
        end
94
//      else if ((wr_i && !full_o) && (rd_i && !empty_o)) begin // FIFO Read and Write
95
        else if (wr_i && rd_i) begin    // FIFO Read and Write
96
                mem[wr_pntr] <= #1 dat_i;
97
                if (wr_pntr >= fl-1)
98
                        wr_pntr <= #1 {fw{1'b0}};
99
                else
100
                        wr_pntr <= #1 wr_pntr + 1'b1;
101
                if (empty_o)
102
                        dat_o <= #1 dat_i;
103
                else
104
                        dat_o <= #1 mem[rd_pntr];
105
                if (rd_pntr >= fl-1)
106
                        rd_pntr <= #1 {fw{1'b0}};
107
                else
108
                        rd_pntr <= #1 rd_pntr + 1'b1;
109
        end
110
        else if (wr_i && !full_o) begin         // FIFO Write
111
                mem[wr_pntr] <= #1 dat_i;
112
                cntr <= #1 cntr + 1'b1;
113
                empty_o <= #1 1'b0;
114
                if (cntr >= fl) begin
115
                        full_o <= #1 1'b1;
116
                        cntr <= #1 fl;
117
                end
118
                if (wr_pntr >= fl-1)
119
                        wr_pntr <= #1 {fw{1'b0}};
120
                else
121
                        wr_pntr <= #1 wr_pntr + 1'b1;
122
        end
123
        else if (rd_i && !empty_o) begin        // FIFO Read
124
                dat_o <= #1 mem[rd_pntr];
125
                cntr <= #1 cntr - 1'b1;
126
                full_o <= #1 1'b0;
127
                if (cntr <= 0) begin
128
                        empty_o <= #1 1'b1;
129
                        cntr <= #1 {fw+2{1'b0}};
130
                end
131
                if (rd_pntr >= fl-1)
132
                        rd_pntr <= #1 {fw{1'b0}};
133
                else
134
                        rd_pntr <= #1 rd_pntr + 1'b1;
135
        end
136
 
137
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.