OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_29/] [or1200/] [rtl/] [verilog/] [or1200_spram_1024x32.v] - Blame information for rev 1267

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26 1129 lampret
////  - Altera LPM                                                ////
27 504 lampret
////                                                              ////
28
////  To Do:                                                      ////
29
////   - xilinx rams need external tri-state logic                ////
30
////   - fix avant! two-port ram                                  ////
31 1129 lampret
////   - add additional RAMs                                      ////
32 504 lampret
////                                                              ////
33
////  Author(s):                                                  ////
34
////      - Damjan Lampret, lampret@opencores.org                 ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
39
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 1267 lampret
// Revision 1.3.4.2  2003/12/09 11:46:48  simons
67
// Mbist nameing changed, Artisan ram instance signal names fixed, some synthesis waning fixed.
68 1200 markom
//
69 1267 lampret
// Revision 1.3.4.1  2003/07/08 15:36:37  lampret
70
// Added embedded memory QMEM.
71 1184 simons
//
72 1179 simons
// Revision 1.3  2003/04/07 01:19:07  lampret
73
// Added Altera LPM RAMs. Changed generic RAM output when OE inactive.
74
//
75 1129 lampret
// Revision 1.2  2002/10/17 20:04:40  lampret
76
// Added BIST scan. Special VS RAMs need to be used to implement BIST.
77
//
78 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81 504 lampret
// Revision 1.8  2001/11/02 18:57:14  lampret
82
// Modified virtual silicon instantiations.
83
//
84
// Revision 1.7  2001/10/21 17:57:16  lampret
85
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
86
//
87
// Revision 1.6  2001/10/14 13:12:09  lampret
88
// MP3 version.
89
//
90
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
91
// no message
92
//
93
// Revision 1.1  2001/08/09 13:39:33  lampret
94
// Major clean-up.
95
//
96
// Revision 1.2  2001/07/30 05:38:02  lampret
97
// Adding empty directories required by HDL coding guidelines
98
//
99
//
100
 
101
// synopsys translate_off
102
`include "timescale.v"
103
// synopsys translate_on
104
`include "or1200_defines.v"
105
 
106
module or1200_spram_1024x32(
107 1063 lampret
`ifdef OR1200_BIST
108
        // RAM BIST
109 1200 markom
        mbist_si_i, mbist_so_o, mbist_ctrl_i,
110 1063 lampret
`endif
111 504 lampret
        // Generic synchronous single-port RAM interface
112
        clk, rst, ce, we, oe, addr, di, do
113
);
114
 
115
//
116
// Default address and data buses width
117
//
118
parameter aw = 10;
119
parameter dw = 32;
120
 
121 1063 lampret
`ifdef OR1200_BIST
122 504 lampret
//
123 1063 lampret
// RAM BIST
124
//
125 1267 lampret
input mbist_si_i;
126
input [`OR1200_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;
127
output mbist_so_o;
128 1063 lampret
`endif
129
 
130
//
131 504 lampret
// Generic synchronous single-port RAM interface
132
//
133
input                   clk;    // Clock
134
input                   rst;    // Reset
135
input                   ce;     // Chip enable input
136
input                   we;     // Write enable input
137
input                   oe;     // Output enable input
138
input   [aw-1:0] addr;   // address bus inputs
139
input   [dw-1:0] di;     // input data bus
140
output  [dw-1:0] do;     // output data bus
141
 
142
//
143
// Internal wires and registers
144
//
145
 
146 1184 simons
`ifdef OR1200_ARTISAN_SSP
147
`else
148
`ifdef OR1200_VIRTUALSILICON_SSP
149
`else
150 1063 lampret
`ifdef OR1200_BIST
151 1200 markom
assign mbist_so_o = mbist_si_i;
152 1063 lampret
`endif
153 1184 simons
`endif
154
`endif
155 504 lampret
 
156
`ifdef OR1200_ARTISAN_SSP
157
 
158
//
159
// Instantiation of ASIC memory:
160
//
161
// Artisan Synchronous Single-Port RAM (ra1sh)
162
//
163
`ifdef UNUSED
164 1179 simons
art_hssp_1024x32 #(dw, 1<<aw, aw) artisan_ssp(
165 504 lampret
`else
166 1179 simons
`ifdef OR1200_BIST
167
art_hssp_1024x32_bist artisan_ssp(
168
`else
169
art_hssp_1024x32 artisan_ssp(
170 504 lampret
`endif
171 1179 simons
`endif
172
`ifdef OR1200_BIST
173
        // RAM BIST
174 1200 markom
        .mbist_si_i(mbist_si_i),
175
        .mbist_so_o(mbist_so_o),
176
        .mbist_ctrl_i(mbist_ctrl_i),
177 1179 simons
`endif
178
        .CLK(clk),
179
        .CEN(~ce),
180
        .WEN(~we),
181
        .A(addr),
182
        .D(di),
183
        .OEN(~oe),
184
        .Q(do)
185 504 lampret
);
186
 
187
`else
188
 
189
`ifdef OR1200_AVANT_ATP
190
 
191
//
192
// Instantiation of ASIC memory:
193
//
194
// Avant! Asynchronous Two-Port RAM
195
//
196
avant_atp avant_atp(
197
        .web(~we),
198
        .reb(),
199
        .oeb(~oe),
200
        .rcsb(),
201
        .wcsb(),
202
        .ra(addr),
203
        .wa(addr),
204
        .di(di),
205
        .do(do)
206
);
207
 
208
`else
209
 
210
`ifdef OR1200_VIRAGE_SSP
211
 
212
//
213
// Instantiation of ASIC memory:
214
//
215
// Virage Synchronous 1-port R/W RAM
216
//
217
virage_ssp virage_ssp(
218
        .clk(clk),
219
        .adr(addr),
220
        .d(di),
221
        .we(we),
222
        .oe(oe),
223
        .me(ce),
224
        .q(do)
225
);
226
 
227
`else
228
 
229
`ifdef OR1200_VIRTUALSILICON_SSP
230
 
231
//
232
// Instantiation of ASIC memory:
233
//
234
// Virtual Silicon Single-Port Synchronous SRAM
235
//
236
`ifdef UNUSED
237
vs_hdsp_1024x32 #(1<<aw, aw-1, dw-1) vs_ssp(
238
`else
239 1063 lampret
`ifdef OR1200_BIST
240
vs_hdsp_1024x32_bist vs_ssp(
241
`else
242 504 lampret
vs_hdsp_1024x32 vs_ssp(
243
`endif
244 1063 lampret
`endif
245
`ifdef OR1200_BIST
246
        // RAM BIST
247 1200 markom
        .mbist_si_i(mbist_si_i),
248
        .mbist_so_o(mbist_so_o),
249
        .mbist_ctrl_i(mbist_ctrl_i),
250 1063 lampret
`endif
251 504 lampret
        .CK(clk),
252
        .ADR(addr),
253
        .DI(di),
254
        .WEN(~we),
255
        .CEN(~ce),
256
        .OEN(~oe),
257
        .DOUT(do)
258
);
259
 
260
`else
261
 
262
`ifdef OR1200_XILINX_RAMB4
263
 
264
//
265
// Instantiation of FPGA memory:
266
//
267
// Virtex/Spartan2
268
//
269
 
270
//
271
// Block 0
272
//
273
RAMB4_S4 ramb4_s4_0(
274
        .CLK(clk),
275
        .RST(rst),
276
        .ADDR(addr),
277
        .DI(di[3:0]),
278
        .EN(ce),
279
        .WE(we),
280
        .DO(do[3:0])
281
);
282
 
283
//
284
// Block 1
285
//
286
RAMB4_S4 ramb4_s4_1(
287
        .CLK(clk),
288
        .RST(rst),
289
        .ADDR(addr),
290
        .DI(di[7:4]),
291
        .EN(ce),
292
        .WE(we),
293
        .DO(do[7:4])
294
);
295
 
296
//
297
// Block 2
298
//
299
RAMB4_S4 ramb4_s4_2(
300
        .CLK(clk),
301
        .RST(rst),
302
        .ADDR(addr),
303
        .DI(di[11:8]),
304
        .EN(ce),
305
        .WE(we),
306
        .DO(do[11:8])
307
);
308
 
309
//
310
// Block 3
311
//
312
RAMB4_S4 ramb4_s4_3(
313
        .CLK(clk),
314
        .RST(rst),
315
        .ADDR(addr),
316
        .DI(di[15:12]),
317
        .EN(ce),
318
        .WE(we),
319
        .DO(do[15:12])
320
);
321
 
322
//
323
// Block 4
324
//
325
RAMB4_S4 ramb4_s4_4(
326
        .CLK(clk),
327
        .RST(rst),
328
        .ADDR(addr),
329
        .DI(di[19:16]),
330
        .EN(ce),
331
        .WE(we),
332
        .DO(do[19:16])
333
);
334
 
335
//
336
// Block 5
337
//
338
RAMB4_S4 ramb4_s4_5(
339
        .CLK(clk),
340
        .RST(rst),
341
        .ADDR(addr),
342
        .DI(di[23:20]),
343
        .EN(ce),
344
        .WE(we),
345
        .DO(do[23:20])
346
);
347
 
348
//
349
// Block 6
350
//
351
RAMB4_S4 ramb4_s4_6(
352
        .CLK(clk),
353
        .RST(rst),
354
        .ADDR(addr),
355
        .DI(di[27:24]),
356
        .EN(ce),
357
        .WE(we),
358
        .DO(do[27:24])
359
);
360
 
361
//
362
// Block 7
363
//
364
RAMB4_S4 ramb4_s4_7(
365
        .CLK(clk),
366
        .RST(rst),
367
        .ADDR(addr),
368
        .DI(di[31:28]),
369
        .EN(ce),
370
        .WE(we),
371
        .DO(do[31:28])
372
);
373
 
374
`else
375
 
376 1129 lampret
`ifdef OR1200_ALTERA_LPM
377
 
378 504 lampret
//
379 1129 lampret
// Instantiation of FPGA memory:
380
//
381
// Altera LPM
382
//
383
// Added By Jamil Khatib
384
//
385
 
386
wire    wr;
387
 
388
assign  wr = ce & we;
389
 
390
initial $display("Using Altera LPM.");
391
 
392
lpm_ram_dq lpm_ram_dq_component (
393
        .address(addr),
394
        .inclock(clk),
395
        .outclock(clk),
396
        .data(di),
397
        .we(wr),
398
        .q(do)
399
);
400
 
401
defparam lpm_ram_dq_component.lpm_width = dw,
402
        lpm_ram_dq_component.lpm_widthad = aw,
403
        lpm_ram_dq_component.lpm_indata = "REGISTERED",
404
        lpm_ram_dq_component.lpm_address_control = "REGISTERED",
405
        lpm_ram_dq_component.lpm_outdata = "UNREGISTERED",
406
        lpm_ram_dq_component.lpm_hint = "USE_EAB=ON";
407
        // examplar attribute lpm_ram_dq_component NOOPT TRUE
408
 
409
`else
410
 
411
//
412 504 lampret
// Generic single-port synchronous RAM model
413
//
414
 
415
//
416
// Generic RAM's registers and wires
417
//
418
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
419
reg     [dw-1:0] do_reg;                 // RAM data output register
420
 
421
//
422
// Data output drivers
423
//
424 1129 lampret
assign do = (oe) ? do_reg : {dw{1'b0}};
425 504 lampret
 
426
//
427
// RAM read and write
428
//
429
always @(posedge clk)
430
        if (ce && !we)
431
                do_reg <= #1 mem[addr];
432
        else if (ce && we)
433
                mem[addr] <= #1 di;
434
 
435 1129 lampret
`endif  // !OR1200_ALTERA_LPM
436 504 lampret
`endif  // !OR1200_XILINX_RAMB4_S16
437
`endif  // !OR1200_VIRTUALSILICON_SSP
438
`endif  // !OR1200_VIRAGE_SSP
439
`endif  // !OR1200_AVANT_ATP
440
`endif  // !OR1200_ARTISAN_SSP
441
 
442
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.