OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_4/] [or1200/] [syn/] [scr/] [cons_vs_umc18.inc] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 159 lampret
/* Constraints */
2
CLK_UNCERTAINTY = 0.1   /* 100 ps */
3
DFFPQ2_CKQ = 0.2        /* Clk to Q in technology time units */
4
DFFPQ2_SETUP = 0.1      /* Setup time in technology time units */
5
 
6
/* Clocks constraints */
7
create_clock CLK -period CLK_PERIOD
8
set_clock_skew all_clocks() -uncertainty CLK_UNCERTAINTY
9
set_dont_touch_network all_clocks()
10
 
11
/* Reset constraints */
12
set_driving_cell -none RST
13
set_drive 0 RST
14
set_dont_touch_network RST
15
 
16
/* All inputs except reset and clock */
17
all_inputs_wo_rst_clk = all_inputs() - CLK - RST
18
 
19
/* Set output delays and load for output signals
20
 *
21
 * All outputs are assumed to go directly into
22
 * external flip-flops for the purpose of this
23
 * synthesis
24
 */
25
set_output_delay DFFPQ2_SETUP -clock CLK all_outputs()
26
set_load load_of(umcl18u250t2_typ/DFFPQ2/D) * 4 all_outputs()
27
 
28
/* Input delay and driving cell of all inputs
29
 *
30
 * All these signals are assumed to come directly from
31
 * flip-flops for the purpose of this synthesis
32
 *
33
 */
34
set_input_delay DFFPQ2_CKQ -clock CLK all_inputs_wo_rst_clk
35
set_driving_cell -cell DFFPQ2 -pin Q all_inputs_wo_rst_clk
36
 
37
/* Set design fanout */
38
/*
39
set_max_fanout 10 TOPLEVEL
40
*/
41
 
42
/* Set area constraint */
43
set_max_area MAX_AREA
44
 
45
/* Optimize all near-critical paths to give extra slack for layout */
46
c_range = CLK_PERIOD * 0.1
47
group_path -critical_range c_range -name CLK -to CLK
48
 
49
/* Operating conditions */
50
set_operating_conditions TYPICAL

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.