OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_5/] [or1200/] [rtl/] [verilog/] [or1200_dc_top.v] - Blame information for rev 562

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Data Cache top level                               ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Instantiation of all DC blocks.                             ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
48
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
49
//
50 504 lampret
// Revision 1.10  2001/10/21 17:57:16  lampret
51
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
52
//
53
// Revision 1.9  2001/10/14 13:12:09  lampret
54
// MP3 version.
55
//
56
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
57
// no message
58
//
59
// Revision 1.4  2001/08/13 03:36:20  lampret
60
// Added cfg regs. Moved all defines into one defines.v file. More cleanup.
61
//
62
// Revision 1.3  2001/08/09 13:39:33  lampret
63
// Major clean-up.
64
//
65
// Revision 1.2  2001/07/22 03:31:53  lampret
66
// Fixed RAM's oen bug. Cache bypass under development.
67
//
68
// Revision 1.1  2001/07/20 00:46:03  lampret
69
// Development version of RTL. Libraries are missing.
70
//
71
//
72
 
73
// synopsys translate_off
74
`include "timescale.v"
75
// synopsys translate_on
76
`include "or1200_defines.v"
77
 
78
//
79
// Data cache
80
//
81
module or1200_dc_top(
82
        // Rst, clk and clock control
83
        clk, rst,
84
 
85
        // External i/f
86
        dcbiu_dat_o, dcbiu_adr_o, dcbiu_cyc_o, dcbiu_stb_o, dcbiu_we_o, dcbiu_sel_o, dcbiu_cab_o,
87
        dcbiu_dat_i, dcbiu_ack_i, dcbiu_err_i,
88
 
89
        // Internal i/f
90
        dc_en,
91
        dcdmmu_adr_i, dcdmmu_cyc_i, dcdmmu_stb_i, dcdmmu_ci_i,
92
        dcpu_we_i, dcpu_sel_i, dcpu_tag_i, dcpu_dat_i,
93
        dcpu_dat_o, dcpu_ack_o, dcpu_rty_o, dcdmmu_err_o, dcdmmu_tag_o,
94
 
95
        // SPRs
96
        spr_cs, spr_write, spr_dat_i
97
);
98
 
99
parameter dw = `OR1200_OPERAND_WIDTH;
100
 
101
//
102
// I/O
103
//
104
 
105
//
106
// Clock and reset
107
//
108
input                           clk;
109
input                           rst;
110
 
111
//
112
// External I/F
113
//
114
output  [dw-1:0]         dcbiu_dat_o;
115
output  [31:0]                   dcbiu_adr_o;
116
output                          dcbiu_cyc_o;
117
output                          dcbiu_stb_o;
118
output                          dcbiu_we_o;
119
output  [3:0]                    dcbiu_sel_o;
120
output                          dcbiu_cab_o;
121
input   [dw-1:0]         dcbiu_dat_i;
122
input                           dcbiu_ack_i;
123
input                           dcbiu_err_i;
124
 
125
//
126
// Internal I/F
127
//
128
input                           dc_en;
129
input   [31:0]                   dcdmmu_adr_i;
130
input                           dcdmmu_cyc_i;
131
input                           dcdmmu_stb_i;
132
input                           dcdmmu_ci_i;
133
input                           dcpu_we_i;
134
input   [3:0]                    dcpu_sel_i;
135
input   [3:0]                    dcpu_tag_i;
136
input   [dw-1:0]         dcpu_dat_i;
137
output  [dw-1:0]         dcpu_dat_o;
138
output                          dcpu_ack_o;
139
output                          dcpu_rty_o;
140
output                          dcdmmu_err_o;
141
output  [3:0]                    dcdmmu_tag_o;
142
 
143
//
144
// SPR access
145
//
146
input                           spr_cs;
147
input                           spr_write;
148
input   [31:0]                   spr_dat_i;
149
 
150
//
151
// Internal wires and regs
152
//
153
wire                            tag_v;
154
wire    [`OR1200_DCTAG_W-2:0]    tag;
155
wire    [dw-1:0]         to_dcram;
156
wire    [dw-1:0]         from_dcram;
157
wire    [31:0]                   saved_addr;
158
wire    [3:0]                    dcram_we;
159
wire                            dctag_we;
160
wire    [31:0]                   dc_addr;
161
wire                            dcfsm_biu_read;
162
wire                            dcfsm_biu_write;
163
reg                             tagcomp_miss;
164
wire    [`OR1200_DCINDXH:`OR1200_DCLS]  dctag_addr;
165
wire                            dctag_en;
166
wire                            dctag_v;
167
wire                            dc_inv;
168
wire                            dcfsm_first_hit_ack;
169
wire                            dcfsm_first_miss_ack;
170
wire                            dcfsm_first_miss_err;
171
wire                            dcfsm_burst;
172
 
173
//
174
// Simple assignments
175
//
176
assign dcbiu_adr_o = dc_addr;
177
assign dc_inv = spr_cs & spr_write;
178
assign dctag_we = (dcfsm_biu_read & dcbiu_ack_i) | dc_inv;
179
assign dctag_addr = dc_inv ? spr_dat_i[`OR1200_DCINDXH:`OR1200_DCLS] : dc_addr[`OR1200_DCINDXH:`OR1200_DCLS];
180
assign dctag_en = dc_inv | dc_en;
181
assign dctag_v = ~dc_inv;
182
 
183
//
184
// Data to BIU is from DCRAM when DC is enabled or from LSU when
185
// DC is disabled
186
//
187
assign dcbiu_dat_o = dcpu_dat_i;
188
 
189
//
190
// Bypases of the DC when DC is disabled
191
//
192
assign dcbiu_cyc_o = (dc_en) ? dcfsm_biu_read | dcfsm_biu_write : dcdmmu_cyc_i;
193
assign dcbiu_stb_o = (dc_en) ? dcfsm_biu_read | dcfsm_biu_write : dcdmmu_stb_i;
194
assign dcbiu_we_o = (dc_en) ? dcfsm_biu_write : dcpu_we_i;
195 562 lampret
assign dcbiu_sel_o = (dc_en & dcfsm_biu_read & !dcfsm_biu_write) ? 4'b1111 : dcpu_sel_i;
196 504 lampret
assign dcbiu_cab_o = (dc_en) ? dcfsm_burst : 1'b0;
197
assign dcpu_rty_o = ~dcpu_ack_o;
198 562 lampret
assign dcdmmu_tag_o = dcdmmu_err_o ? `OR1200_DTAG_BE : dcpu_tag_i;
199 504 lampret
 
200
//
201
// DC/LSU normal and error termination
202
//
203
assign dcpu_ack_o = dc_en ? dcfsm_first_hit_ack | dcfsm_first_miss_ack : dcbiu_ack_i;
204
assign dcdmmu_err_o = dc_en ? dcfsm_first_miss_err : dcbiu_err_i;
205
 
206
//
207
// Select between claddr generated by DC FSM and addr[3:2] generated by LSU
208
//
209
assign dc_addr = (dcfsm_biu_read | dcfsm_biu_write) ? saved_addr : dcdmmu_adr_i;
210
 
211
//
212
// Select between input data generated by LSU or by BIU
213
//
214
assign to_dcram = (dcfsm_biu_read) ? dcbiu_dat_i : dcpu_dat_i;
215
 
216
//
217
// Select between data generated by DCRAM or passed by BIU
218
//
219
assign dcpu_dat_o = dcfsm_first_miss_ack | !dc_en ? dcbiu_dat_i : from_dcram;
220
 
221
//
222
// Tag comparison
223
//
224
always @(tag or saved_addr or tag_v) begin
225
        if ((tag != saved_addr[31:`OR1200_DCTAGL]) || !tag_v)
226
                tagcomp_miss = 1'b1;
227
        else
228
                tagcomp_miss = 1'b0;
229
end
230
 
231
//
232
// Instantiation of DC Finite State Machine
233
//
234
or1200_dc_fsm or1200_dc_fsm(
235
        .clk(clk),
236
        .rst(rst),
237
        .dc_en(dc_en),
238
        .dcdmmu_cyc_i(dcdmmu_cyc_i),
239
        .dcdmmu_stb_i(dcdmmu_stb_i),
240 562 lampret
        .dcdmmu_ci_i(dcdmmu_ci_i),
241 504 lampret
        .dcpu_we_i(dcpu_we_i),
242
        .dcpu_sel_i(dcpu_sel_i),
243
        .tagcomp_miss(tagcomp_miss),
244
        .biudata_valid(dcbiu_ack_i),
245
        .biudata_error(dcbiu_err_i),
246
        .start_addr(dcdmmu_adr_i),
247
        .saved_addr(saved_addr),
248
        .dcram_we(dcram_we),
249
        .biu_read(dcfsm_biu_read),
250
        .biu_write(dcfsm_biu_write),
251
        .first_hit_ack(dcfsm_first_hit_ack),
252
        .first_miss_ack(dcfsm_first_miss_ack),
253
        .first_miss_err(dcfsm_first_miss_err),
254
        .burst(dcfsm_burst)
255
);
256
 
257
//
258
// Instantiation of DC main memory
259
//
260
or1200_dc_ram or1200_dc_ram(
261
        .clk(clk),
262
        .rst(rst),
263
        .addr(dc_addr[`OR1200_DCINDXH:2]),
264
        .en(dc_en),
265
        .we(dcram_we),
266
        .datain(to_dcram),
267
        .dataout(from_dcram)
268
);
269
 
270
//
271
// Instantiation of DC TAG memory
272
//
273
or1200_dc_tag or1200_dc_tag(
274
        .clk(clk),
275
        .rst(rst),
276
        .addr(dctag_addr),
277
        .en(dctag_en),
278
        .we(dctag_we),
279
        .datain({dc_addr[31:`OR1200_DCTAGL], dctag_v}),
280
        .tag_v(tag_v),
281
        .tag(tag)
282
);
283
 
284
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.