OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_5/] [or1200/] [rtl/] [verilog/] [or1200_tt.v] - Blame information for rev 504

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Tick Timer                                         ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  TT according to OR1K architectural specification.           ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   None                                                       ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47
// Revision 1.10  2001/11/13 10:00:49  lampret
48
// Fixed tick timer interrupt reporting by using TTCR[IP] bit.
49
//
50
// Revision 1.9  2001/11/10 03:43:57  lampret
51
// Fixed exceptions.
52
//
53
// Revision 1.8  2001/10/21 17:57:16  lampret
54
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
55
//
56
// Revision 1.7  2001/10/14 13:12:10  lampret
57
// MP3 version.
58
//
59
// Revision 1.1.1.1  2001/10/06 10:18:35  igorm
60
// no message
61
//
62
// Revision 1.2  2001/08/09 13:39:33  lampret
63
// Major clean-up.
64
//
65
// Revision 1.1  2001/07/20 00:46:23  lampret
66
// Development version of RTL. Libraries are missing.
67
//
68
//
69
 
70
// synopsys translate_off
71
`include "timescale.v"
72
// synopsys translate_on
73
`include "or1200_defines.v"
74
 
75
module or1200_tt(
76
        // RISC Internal Interface
77
        clk, rst, spr_cs, spr_write, spr_addr, spr_dat_i, spr_dat_o,
78
        int
79
);
80
 
81
//
82
// RISC Internal Interface
83
//
84
input           clk;            // Clock
85
input           rst;            // Reset
86
input           spr_cs;         // SPR CS
87
input           spr_write;      // SPR Write
88
input   [31:0]   spr_addr;       // SPR Address
89
input   [31:0]   spr_dat_i;      // SPR Write Data
90
output  [31:0]   spr_dat_o;      // SPR Read Data
91
output          int;            // Interrupt output
92
 
93
`ifdef OR1200_TT_IMPLEMENTED
94
 
95
//
96
// TT Mode Register bits (or no register)
97
//
98
`ifdef OR1200_TT_TTMR
99
reg     [31:0]   ttmr;   // TTMR bits
100
`else
101
wire    [31:0]   ttmr;   // No TTMR register
102
`endif
103
 
104
//
105
// TT Count Register bits (or no register)
106
//
107
`ifdef OR1200_TT_TTCR
108
reg     [31:0]   ttcr;   // TTCR bits
109
`else
110
wire    [31:0]   ttcr;   // No TTCR register
111
`endif
112
 
113
//
114
// Internal wires & regs
115
//
116
wire            ttmr_sel;       // TTMR select
117
wire            ttcr_sel;       // TTCR select
118
wire            match;          // Asserted when TTMR[TP]
119
                                // is equal to TTCR[27:0]
120
wire            restart;        // Restart counter when asserted
121
wire            stop;           // Stop counter when asserted
122
reg     [31:0]   spr_dat_o;      // SPR data out
123
 
124
//
125
// TT registers address decoder
126
//
127
assign ttmr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTMR)) ? 1'b1 : 1'b0;
128
assign ttcr_sel = (spr_cs && (spr_addr[`OR1200_TTOFS_BITS] == `OR1200_TT_OFS_TTCR)) ? 1'b1 : 1'b0;
129
 
130
//
131
// Write to TTMR or update of TTMR[IP] bit
132
//
133
`ifdef OR1200_TT_TTMR
134
always @(posedge clk or posedge rst)
135
        if (rst)
136
                ttmr <= 32'b0;
137
        else if (ttmr_sel && spr_write)
138
                ttmr <= #1 spr_dat_i;
139
        else if (ttmr[`OR1200_TT_TTMR_IE])
140
                ttmr[`OR1200_TT_TTMR_IP] <= #1 ttmr[`OR1200_TT_TTMR_IP] | (match & ttmr[`OR1200_TT_TTMR_IE]);
141
`else
142
assign ttmr = {2'b11, 30'b0};    // TTMR[M] = 0x3
143
`endif
144
 
145
//
146
// Write to or increment of TTCR
147
//
148
`ifdef OR1200_TT_TTCR
149
always @(posedge clk or posedge rst)
150
        if (rst)
151
                ttcr <= 32'b0;
152
        else if (restart)
153
                ttcr <= #1 32'b0;
154
        else if (ttcr_sel && spr_write)
155
                ttcr <= #1 spr_dat_i;
156
        else if (!stop)
157
                ttcr <= #1 ttcr + 1'd1;
158
`else
159
assign ttcr = 32'b0;
160
`endif
161
 
162
//
163
// Read TT registers
164
//
165
always @(spr_addr or ttmr or ttcr)
166
        case (spr_addr[`OR1200_TTOFS_BITS])     // synopsys full_case parallel_case
167
`ifdef OR1200_TT_READREGS
168
                `OR1200_TT_OFS_TTMR: spr_dat_o = ttmr;
169
`endif
170
                default: spr_dat_o = ttcr;
171
        endcase
172
 
173
//
174
// A match when TTMR[TP] is equal to TTCR[27:0]
175
//
176
assign match = (ttmr[`OR1200_TT_TTMR_TP] == ttcr[27:0]) ? 1'b1 : 1'b0;
177
 
178
//
179
// Restart when match and TTMR[M]==0x1 or when rst is asserted
180
//
181
assign restart = (match && (ttmr[`OR1200_TT_TTMR_M] == 2'b01) || rst) ? 1'b1 : 1'b0;
182
 
183
//
184
// Stop when match and TTMR[M]==0x2 or when TTMR[M]==0x0
185
//
186
assign stop = (match && (ttmr[`OR1200_TT_TTMR_M] == 2'b10) || (ttmr[`OR1200_TT_TTMR_M] == 2'b00)) ? 1'b1 : 1'b0;
187
 
188
//
189
// Generate an interrupt request
190
//
191
assign int = ttmr[`OR1200_TT_TTMR_IP];
192
 
193
`else
194
 
195
//
196
// When TT is not implemented, drive all outputs as would when TT is disabled
197
//
198
assign int = 1'b0;
199
 
200
//
201
// Read TT registers
202
//
203
`ifdef OR1200_TT_READREGS
204
assign spr_dat_o = 32'b0;
205
`endif
206
 
207
`endif
208
 
209
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.