OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_6/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46
// $Log: not supported by cvs2svn $
47 916 lampret
// Revision 1.5  2002/07/14 22:17:17  lampret
48
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
49
//
50 895 lampret
// Revision 1.4  2002/03/29 15:16:55  lampret
51
// Some of the warnings fixed.
52
//
53 788 lampret
// Revision 1.3  2002/01/28 01:16:00  lampret
54
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
55
//
56 617 lampret
// Revision 1.2  2002/01/14 06:18:22  lampret
57
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
58
//
59 562 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
60
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
61
//
62 504 lampret
// Revision 1.10  2001/11/13 10:02:21  lampret
63
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
64
//
65
// Revision 1.9  2001/10/21 17:57:16  lampret
66
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
67
//
68
// Revision 1.8  2001/10/19 23:28:46  lampret
69
// Fixed some synthesis warnings. Configured with caches and MMUs.
70
//
71
// Revision 1.7  2001/10/14 13:12:09  lampret
72
// MP3 version.
73
//
74
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
75
// no message
76
//
77
// Revision 1.2  2001/08/09 13:39:33  lampret
78
// Major clean-up.
79
//
80
// Revision 1.1  2001/07/20 00:46:03  lampret
81
// Development version of RTL. Libraries are missing.
82
//
83
//
84
 
85
// synopsys translate_off
86
`include "timescale.v"
87
// synopsys translate_on
88
`include "or1200_defines.v"
89
 
90
`define OR1200_NO_FREEZE        3'd0
91
`define OR1200_FREEZE_BYDC      3'd1
92
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
93
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
94
`define OR1200_WAIT_IC                  3'd4
95
 
96
//
97
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
98
//
99
module or1200_freeze(
100
        // Clock and reset
101
        clk, rst,
102
 
103
        // Internal i/f
104
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
105
        lsu_unstall, du_stall, mac_stall,
106 617 lampret
        force_dslot_fetch, abort_ex,
107 895 lampret
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze,
108
        icpu_ack_i, icpu_err_i
109 504 lampret
);
110
 
111
//
112
// I/O
113
//
114
input                           clk;
115
input                           rst;
116
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
117
input                           flushpipe;
118
input                           extend_flush;
119
input                           lsu_stall;
120
input                           if_stall;
121
input                           lsu_unstall;
122
input                           force_dslot_fetch;
123 617 lampret
input                           abort_ex;
124 504 lampret
input                           du_stall;
125
input                           mac_stall;
126 562 lampret
output                          genpc_freeze;
127 504 lampret
output                          if_freeze;
128
output                          id_freeze;
129
output                          ex_freeze;
130
output                          wb_freeze;
131 895 lampret
input                           icpu_ack_i;
132
input                           icpu_err_i;
133 504 lampret
 
134
//
135
// Internal wires and regs
136
//
137
wire                            multicycle_freeze;
138 788 lampret
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
139 895 lampret
reg                             flushpipe_r;
140 504 lampret
 
141
//
142
// Pipeline freeze
143
//
144
// Rules how to create freeze signals:
145
// 1. Not overwriting pipeline stages:
146
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
147
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
148
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
149
//
150
// 2. Inserting NOPs in the middle of pipeline only if supported:
151
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
152
// This way NOP is asserted from stage ID into EX stage.
153
//
154 895 lampret
assign genpc_freeze = du_stall | flushpipe_r;
155 504 lampret
assign if_freeze = id_freeze | extend_flush;
156
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
157 916 lampret
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall | mac_stall;
158 504 lampret
assign ex_freeze = wb_freeze;
159
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
160 617 lampret
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
161 504 lampret
 
162
//
163 895 lampret
// registered flushpipe
164
//
165
always @(posedge clk or posedge rst)
166
        if (rst)
167
                flushpipe_r <= #1 1'b0;
168
        else if (icpu_ack_i | icpu_err_i)
169
//      else if (!if_stall)
170
                flushpipe_r <= #1 flushpipe;
171
        else if (!flushpipe)
172
                flushpipe_r <= #1 1'b0;
173
 
174
//
175 504 lampret
// Multicycle freeze
176
//
177
assign multicycle_freeze = |multicycle_cnt;
178
 
179
//
180
// Multicycle counter
181
//
182
always @(posedge clk or posedge rst)
183
        if (rst)
184
                multicycle_cnt <= #1 3'b0;
185
        else if (multicycle_cnt)
186
                multicycle_cnt <= #1 multicycle_cnt - 'd1;
187
        else if (multicycle & !ex_freeze)
188
                multicycle_cnt <= #1 multicycle;
189
 
190
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.