OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel_6/] [or1200/] [rtl/] [verilog/] [or1200_spram_512x20.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 504 lampret
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic Single-Port Synchronous RAM                         ////
4
////                                                              ////
5
////  This file is part of memory library available from          ////
6
////  http://www.opencores.org/cvsweb.shtml/generic_memories/     ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  This block is a wrapper with common single-port             ////
10
////  synchronous memory interface for different                  ////
11
////  types of ASIC and FPGA RAMs. Beside universal memory        ////
12
////  interface it also provides behavioral model of generic      ////
13
////  single-port synchronous RAM.                                ////
14
////  It should be used in all OPENCORES designs that want to be  ////
15
////  portable accross different target technologies and          ////
16
////  independent of target memory.                               ////
17
////                                                              ////
18
////  Supported ASIC RAMs are:                                    ////
19
////  - Artisan Single-Port Sync RAM                              ////
20
////  - Avant! Two-Port Sync RAM (*)                              ////
21
////  - Virage Single-Port Sync RAM                               ////
22
////  - Virtual Silicon Single-Port Sync RAM                      ////
23
////                                                              ////
24
////  Supported FPGA RAMs are:                                    ////
25
////  - Xilinx Virtex RAMB4_S16                                   ////
26
////                                                              ////
27
////  To Do:                                                      ////
28
////   - xilinx rams need external tri-state logic                ////
29
////   - fix avant! two-port ram                                  ////
30
////   - add additional RAMs (Altera etc)                         ////
31
////                                                              ////
32
////  Author(s):                                                  ////
33
////      - Damjan Lampret, lampret@opencores.org                 ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
38
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 1063 lampret
// Revision 1.1  2002/01/03 08:16:15  lampret
66
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
67
//
68 504 lampret
// Revision 1.10  2001/11/27 21:24:04  lampret
69
// Changed instantiation name of VS RAMs.
70
//
71
// Revision 1.9  2001/11/27 19:45:04  lampret
72
// Fixed VS RAM instantiation - again.
73
//
74
// Revision 1.8  2001/11/23 21:42:31  simons
75
// Program counter divided to PPC and NPC.
76
//
77
// Revision 1.6  2001/10/21 17:57:16  lampret
78
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
79
//
80
// Revision 1.5  2001/10/14 13:12:09  lampret
81
// MP3 version.
82
//
83
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
84
// no message
85
//
86
// Revision 1.1  2001/08/09 13:39:33  lampret
87
// Major clean-up.
88
//
89
// Revision 1.2  2001/07/30 05:38:02  lampret
90
// Adding empty directories required by HDL coding guidelines
91
//
92
//
93
 
94
// synopsys translate_off
95
`include "timescale.v"
96
// synopsys translate_on
97
`include "or1200_defines.v"
98
 
99
module or1200_spram_512x20(
100 1063 lampret
`ifdef OR1200_BIST
101
        // RAM BIST
102
        scanb_rst, scanb_si, scanb_so, scanb_en, scanb_clk,
103
`endif
104 504 lampret
        // Generic synchronous single-port RAM interface
105
        clk, rst, ce, we, oe, addr, di, do
106
);
107
 
108
//
109
// Default address and data buses width
110
//
111
parameter aw = 9;
112
parameter dw = 20;
113
 
114 1063 lampret
`ifdef OR1200_BIST
115 504 lampret
//
116 1063 lampret
// RAM BIST
117
//
118
input                   scanb_rst,
119
                        scanb_si,
120
                        scanb_en,
121
                        scanb_clk;
122
output                  scanb_so;
123
`endif
124
 
125
//
126 504 lampret
// Generic synchronous single-port RAM interface
127
//
128
input                   clk;    // Clock
129
input                   rst;    // Reset
130
input                   ce;     // Chip enable input
131
input                   we;     // Write enable input
132
input                   oe;     // Output enable input
133
input   [aw-1:0] addr;   // address bus inputs
134
input   [dw-1:0] di;     // input data bus
135
output  [dw-1:0] do;     // output data bus
136
 
137
//
138
// Internal wires and registers
139
//
140
wire    [3:0]            unconnected;
141
 
142 1063 lampret
`ifdef OR1200_VIRTUALSILICON_SSP
143
`else
144
`ifdef OR1200_BIST
145
assign scanb_so = scanb_si;
146
`endif
147
`endif
148
 
149 504 lampret
`ifdef OR1200_ARTISAN_SSP
150
 
151
//
152
// Instantiation of ASIC memory:
153
//
154
// Artisan Synchronous Single-Port RAM (ra1sh)
155
//
156
`ifdef UNUSED
157
art_hssp_512x20 #(dw, 1<<aw, aw) artisan_ssp(
158
`else
159
art_hssp_512x20 artisan_ssp(
160
`endif
161
        .clk(clk),
162
        .cen(~ce),
163
        .wen(~we),
164
        .a(addr),
165
        .d(di),
166
        .oen(~oe),
167
        .q(do)
168
);
169
 
170
`else
171
 
172
`ifdef OR1200_AVANT_ATP
173
 
174
//
175
// Instantiation of ASIC memory:
176
//
177
// Avant! Asynchronous Two-Port RAM
178
//
179
avant_atp avant_atp(
180
        .web(~we),
181
        .reb(),
182
        .oeb(~oe),
183
        .rcsb(),
184
        .wcsb(),
185
        .ra(addr),
186
        .wa(addr),
187
        .di(di),
188
        .do(do)
189
);
190
 
191
`else
192
 
193
`ifdef OR1200_VIRAGE_SSP
194
 
195
//
196
// Instantiation of ASIC memory:
197
//
198
// Virage Synchronous 1-port R/W RAM
199
//
200
virage_ssp virage_ssp(
201
        .clk(clk),
202
        .adr(addr),
203
        .d(di),
204
        .we(we),
205
        .oe(oe),
206
        .me(ce),
207
        .q(do)
208
);
209
 
210
`else
211
 
212
`ifdef OR1200_VIRTUALSILICON_SSP
213
 
214
//
215
// Instantiation of ASIC memory:
216
//
217
// Virtual Silicon Single-Port Synchronous SRAM
218
//
219
`ifdef UNUSED
220
vs_hdsp_512x20 #(1<<aw, aw-1, dw-1) vs_ssp(
221
`else
222 1063 lampret
`ifdef OR1200_BIST
223
vs_hdsp_512x20_bist vs_ssp(
224
`else
225 504 lampret
vs_hdsp_512x20 vs_ssp(
226
`endif
227 1063 lampret
`endif
228
`ifdef OR1200_BIST
229
        // RAM BIST
230
        .scanb_rst(scanb_rst),
231
        .scanb_si(scanb_si),
232
        .scanb_so(scanb_so),
233
        .scanb_en(scanb_en),
234
        .scanb_clk(scanb_clk),
235
`endif
236 504 lampret
        .CK(clk),
237
        .ADR(addr),
238
        .DI(di),
239
        .WEN(~we),
240
        .CEN(~ce),
241
        .OEN(~oe),
242
        .DOUT(do)
243
);
244
 
245
`else
246
 
247
`ifdef OR1200_XILINX_RAMB4
248
 
249
//
250
// Instantiation of FPGA memory:
251
//
252
// Virtex/Spartan2
253
//
254
 
255
//
256
// Block 0
257
//
258
RAMB4_S8 ramb4_s8_0(
259
        .CLK(clk),
260
        .RST(rst),
261
        .ADDR(addr),
262
        .DI(di[7:0]),
263
        .EN(ce),
264
        .WE(we),
265
        .DO(do[7:0])
266
);
267
 
268
//
269
// Block 1
270
//
271
RAMB4_S8 ramb4_s8_1(
272
        .CLK(clk),
273
        .RST(rst),
274
        .ADDR(addr),
275
        .DI(di[15:8]),
276
        .EN(ce),
277
        .WE(we),
278
        .DO(do[15:8])
279
);
280
 
281
//
282
// Block 2
283
//
284
RAMB4_S8 ramb4_s8_2(
285
        .CLK(clk),
286
        .RST(rst),
287
        .ADDR(addr),
288
        .DI({4'b0000, di[19:16]}),
289
        .EN(ce),
290
        .WE(we),
291
        .DO({unconnected, do[19:16]})
292
);
293
 
294
`else
295
 
296
//
297
// Generic single-port synchronous RAM model
298
//
299
 
300
//
301
// Generic RAM's registers and wires
302
//
303
reg     [dw-1:0] mem [(1<<aw)-1:0];       // RAM content
304
reg     [dw-1:0] do_reg;                 // RAM data output register
305
 
306
//
307
// Data output drivers
308
//
309
assign do = (oe) ? do_reg : {dw{1'bz}};
310
 
311
//
312
// RAM read and write
313
//
314
always @(posedge clk)
315
        if (ce && !we)
316
                do_reg <= #1 mem[addr];
317
        else if (ce && we)
318
                mem[addr] <= #1 di;
319
 
320
`endif  // !OR1200_XILINX_RAMB4_S16
321
`endif  // !OR1200_VIRTUALSILICON_SSP
322
`endif  // !OR1200_VIRAGE_SSP
323
`endif  // !OR1200_AVANT_ATP
324
`endif  // !OR1200_ARTISAN_SSP
325
 
326
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.