OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [FDCPE.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/FDCPE.v,v 1.1.1.1 2001-11-04 18:59:47 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : D-FLIP-FLOP with async clear, async preset and clock enable
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module FDCPE (Q, C, CE, CLR, D, PRE);
14
 
15
    parameter cds_action = "ignore";
16
    parameter INIT = 1'b0;
17
 
18
    output Q;
19
    reg    q_out;
20
 
21
    input  C, CE, CLR, D, PRE;
22
 
23
    tri0 GSR = glbl.GSR;
24
 
25
    buf B1 (Q, q_out);
26
 
27
        always @(GSR or CLR or PRE)
28
            if (GSR)
29
                assign q_out = INIT;
30
            else if (CLR)
31
                assign q_out = 0;
32
            else if (PRE)
33
                assign q_out = 1;
34
            else
35
                deassign q_out;
36
 
37
        always @(posedge C)
38
            if (CE)
39
                q_out <= D;
40
 
41
    specify
42
        (posedge CLR => (Q +: 1'b0)) = (1, 1);
43
        if (!CLR)
44
            (posedge PRE => (Q +: 1'b1)) = (1, 1);
45
        if (!CLR && !PRE && CE)
46
            (posedge C => (Q +: D)) = (1, 1);
47
    endspecify
48
 
49
endmodule
50
 
51
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.