OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT2_D.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT2_D.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 2-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT2_D (LO, O, I0, I1);
13
 
14
    parameter INIT = 4'h0;
15
 
16
    input I0, I1;
17
 
18
    output LO, O;
19
 
20
    wire out;
21
 
22
    lut2_d_mux4 (out, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
23
 
24
    buf b2 (LO, out);
25
    buf b3 (O, out);
26
 
27
    specify
28
        (I0 *> LO) = (1, 1);
29
        (I1 *> LO) = (1, 1);
30
        (I0 *> O) = (1, 1);
31
        (I1 *> O) = (1, 1);
32
    endspecify
33
 
34
endmodule
35
 
36
`endcelldefine
37
 
38
primitive lut2_d_mux4 (O, d3, d2, d1, d0, s1, s0);
39
 
40
  output O;
41
  input d3, d2, d1, d0;
42
  input s1, s0;
43
 
44
  table
45
 
46
    // d3  d2  d1  d0  s1  s0 : O;
47
 
48
       ?   ?   ?   1   0   0  : 1;
49
       ?   ?   ?   0   0   0  : 0;
50
       ?   ?   1   ?   0   1  : 1;
51
       ?   ?   0   ?   0   1  : 0;
52
       ?   1   ?   ?   1   0  : 1;
53
       ?   0   ?   ?   1   0  : 0;
54
       1   ?   ?   ?   1   1  : 1;
55
 
56
 
57
       ?   ?   0   0   0   x  : 0;
58
       ?   ?   1   1   0   x  : 1;
59
 
60
       1   1   ?   ?   1   x  : 1;
61
 
62
       ?   0   ?   0   x   0  : 0;
63
       ?   1   ?   1   x   0  : 1;
64
 
65
       1   ?   1   ?   x   1  : 1;
66
 
67
 
68
       1   1   1   1   x   x  : 1;
69
 
70
  endtable
71
 
72
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.