OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT3_L.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT3_L.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 3-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT3_L (LO, I0, I1, I2);
13
 
14
    parameter INIT = 8'h00;
15
 
16
    input I0, I1, I2;
17
 
18
    output LO;
19
 
20
    wire out0, out1, out;
21
 
22
    lut3_l_mux4 (out1, INIT[7], INIT[6], INIT[5], INIT[4], I1, I0);
23
    lut3_l_mux4 (out0, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
24
    lut3_l_mux4 (out, 1'b0, 1'b0, out1, out0, 1'b0, I2);
25
 
26
    buf b3 (LO, out);
27
 
28
    specify
29
        (I0 *> LO) = (1, 1);
30
        (I1 *> LO) = (1, 1);
31
        (I2 *> LO) = (1, 1);
32
    endspecify
33
 
34
endmodule
35
 
36
`endcelldefine
37
 
38
primitive lut3_l_mux4 (o, d3, d2, d1, d0, s1, s0);
39
 
40
  output o;
41
  input d3, d2, d1, d0;
42
  input s1, s0;
43
 
44
  table
45
 
46
    // d3  d2  d1  d0  s1  s0 : o;
47
 
48
       ?   ?   ?   1   0   0  : 1;
49
       ?   ?   ?   0   0   0  : 0;
50
       ?   ?   1   ?   0   1  : 1;
51
       ?   ?   0   ?   0   1  : 0;
52
       ?   1   ?   ?   1   0  : 1;
53
       ?   0   ?   ?   1   0  : 0;
54
       1   ?   ?   ?   1   1  : 1;
55
 
56
 
57
       ?   ?   0   0   0   x  : 0;
58
       ?   ?   1   1   0   x  : 1;
59
 
60
       1   1   ?   ?   1   x  : 1;
61
 
62
       ?   0   ?   0   x   0  : 0;
63
       ?   1   ?   1   x   0  : 1;
64
 
65
       1   ?   1   ?   x   1  : 1;
66
 
67
 
68
       1   1   1   1   x   x  : 1;
69
 
70
  endtable
71
 
72
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.