OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [RAM32X4S.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/RAM32X4S.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : 32x4 Static RAM with synchronous write capability
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module RAM32X4S (O0, O1, O2, O3, A0, A1, A2, A3, A4, D0, D1, D2, D3, WCLK, WE);
14
 
15
    parameter cds_action = "ignore";
16
 
17
    parameter INIT_00 = 32'h00000000;
18
    parameter INIT_01 = 32'h00000000;
19
    parameter INIT_02 = 32'h00000000;
20
    parameter INIT_03 = 32'h00000000;
21
 
22
    output O0, O1, O2, O3;
23
 
24
    input  A0, A1, A2, A3, A4, D0, D1, D2, D3, WCLK, WE;
25
 
26
    reg  mem [128:0];
27
    reg  [8:0] count;
28
    wire [4:0] adr;
29
    wire [3:0] d_in, o_out;
30
    wire wclk_in, we_in;
31
 
32
    buf b_d0   (d_in[0], D0);
33
    buf b_d1   (d_in[1], D1);
34
    buf b_d2   (d_in[2], D2);
35
    buf b_d3   (d_in[3], D3);
36
    buf b_wclk (wclk_in, WCLK);
37
    buf b_we   (we_in, WE);
38
 
39
    buf b_a4 (adr[4], A4);
40
    buf b_a3 (adr[3], A3);
41
    buf b_a2 (adr[2], A2);
42
    buf b_a1 (adr[1], A1);
43
    buf b_a0 (adr[0], A0);
44
 
45
    buf b_o0 (O0, o_out[0]);
46
    buf b_o1 (O1, o_out[1]);
47
    buf b_o2 (O2, o_out[2]);
48
    buf b_o3 (O3, o_out[3]);
49
 
50
    buf b_o_out0 (o_out[0], mem[adr + 32 * 0]);
51
    buf b_o_out1 (o_out[1], mem[adr + 32 * 1]);
52
    buf b_o_out2 (o_out[2], mem[adr + 32 * 2]);
53
    buf b_o_out3 (o_out[3], mem[adr + 32 * 3]);
54
 
55
    initial begin
56
        for (count = 0; count < 32; count = count + 1) begin
57
            mem[count + 32 * 0] <= INIT_00[count];
58
            mem[count + 32 * 1] <= INIT_01[count];
59
            mem[count + 32 * 2] <= INIT_02[count];
60
            mem[count + 32 * 3] <= INIT_03[count];
61
        end
62
    end
63
 
64
    always @(posedge wclk_in) begin
65
        if (we_in == 1'b1) begin
66
            mem[adr + 32 * 0] <= d_in[0];
67
            mem[adr + 32 * 1] <= d_in[1];
68
            mem[adr + 32 * 2] <= d_in[2];
69
            mem[adr + 32 * 3] <= d_in[3];
70
        end
71
    end
72
 
73
    specify
74
        if (WE)
75
            (WCLK => O0) = (1, 1);
76
        if (WE)
77
            (WCLK => O1) = (1, 1);
78
        if (WE)
79
            (WCLK => O2) = (1, 1);
80
        if (WE)
81
            (WCLK => O3) = (1, 1);
82
 
83
        (A4 => O0) = (1, 1);
84
        (A3 => O0) = (1, 1);
85
        (A2 => O0) = (1, 1);
86
        (A1 => O0) = (1, 1);
87
        (A0 => O0) = (1, 1);
88
        (A4 => O1) = (1, 1);
89
        (A3 => O1) = (1, 1);
90
        (A2 => O1) = (1, 1);
91
        (A1 => O1) = (1, 1);
92
        (A0 => O1) = (1, 1);
93
        (A4 => O2) = (1, 1);
94
        (A3 => O2) = (1, 1);
95
        (A2 => O2) = (1, 1);
96
        (A1 => O2) = (1, 1);
97
        (A0 => O2) = (1, 1);
98
        (A4 => O3) = (1, 1);
99
        (A3 => O3) = (1, 1);
100
        (A2 => O3) = (1, 1);
101
        (A1 => O3) = (1, 1);
102
        (A0 => O3) = (1, 1);
103
    endspecify
104
 
105
endmodule
106
 
107
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.