OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [RAM64X1D_1.v] - Blame information for rev 266

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/RAM64X1D_1.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : 64x1 Dual Port Static RAM with synchronous write capability
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module RAM64X1D_1 (DPO, SPO, A0, A1, A2, A3, A4, A5, D, DPRA0, DPRA1, DPRA2, DPRA3, DPRA4, DPRA5, WCLK, WE);
14
 
15
    parameter cds_action = "ignore";
16
 
17
    parameter INIT = 64'h0000000000000000;
18
 
19
    output DPO, SPO;
20
 
21
    input  A0, A1, A2, A3, A4, A5, D, DPRA0, DPRA1, DPRA2, DPRA3, DPRA4, DPRA5, WCLK, WE;
22
 
23
    reg  mem [63:0];
24
    reg  [6:0] count;
25
    wire [5:0] adr;
26
    wire [5:0] dpr_adr;
27
    wire d_in, wclk_in, we_in;
28
 
29
    buf b_d    (d_in, D);
30
    buf b_wclk (wclk_in, WCLK);
31
    buf b_we   (we_in, WE);
32
 
33
    buf b_a5 (adr[5], A5);
34
    buf b_a4 (adr[4], A4);
35
    buf b_a3 (adr[3], A3);
36
    buf b_a2 (adr[2], A2);
37
    buf b_a1 (adr[1], A1);
38
    buf b_a0 (adr[0], A0);
39
 
40
    buf b_d5 (dpr_adr[5], DPRA5);
41
    buf b_d4 (dpr_adr[4], DPRA4);
42
    buf b_d3 (dpr_adr[3], DPRA3);
43
    buf b_d2 (dpr_adr[2], DPRA2);
44
    buf b_d1 (dpr_adr[1], DPRA1);
45
    buf b_d0 (dpr_adr[0], DPRA0);
46
 
47
    buf b_spo (SPO, spo_int);
48
    buf b_dpo (DPO, dpo_int);
49
 
50
    buf b_spo_int (spo_int, mem[adr]);
51
    buf b_dpo_int (dpo_int, mem[dpr_adr]);
52
 
53
    initial begin
54
        for (count = 0; count < 64; count = count + 1)
55
            mem[count] <= INIT[count];
56
 
57
    end
58
 
59
    always @(negedge wclk_in) begin
60
        if (we_in == 1'b1)
61
            mem[adr] <= d_in;
62
    end
63
 
64
    specify
65
        if (WE)
66
            (WCLK => SPO) = (1, 1);
67
        if (WE)
68
            (WCLK => DPO) = (1, 1);
69
 
70
        (A5 => SPO) = (1, 1);
71
        (A4 => SPO) = (1, 1);
72
        (A3 => SPO) = (1, 1);
73
        (A2 => SPO) = (1, 1);
74
        (A1 => SPO) = (1, 1);
75
        (A0 => SPO) = (1, 1);
76
 
77
        (DPRA5 => DPO) = (1, 1);
78
        (DPRA4 => DPO) = (1, 1);
79
        (DPRA3 => DPO) = (1, 1);
80
        (DPRA2 => DPO) = (1, 1);
81
        (DPRA1 => DPO) = (1, 1);
82
        (DPRA0 => DPO) = (1, 1);
83
    endspecify
84
 
85
endmodule
86
 
87
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.