OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [RAMB16_S18.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/RAMB16_S18.v,v 1.1.1.1 2001-11-04 18:59:51 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : 16x18 Block RAM with synchronous write capability
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module RAMB16_S18 (DO, DOP, ADDR, DI, DIP, EN, CLK, WE, SSR);
14
 
15
    parameter cds_action = "ignore";
16
    parameter INIT = 18'h0;
17
    parameter SRVAL = 18'h0;
18
    parameter WRITE_MODE = "WRITE_FIRST";
19
 
20
    parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
21
    parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
22
    parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
23
    parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
24
    parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
25
    parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
26
    parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
27
    parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
28
    parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
29
    parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
30
    parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
31
    parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
32
    parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
33
    parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
34
    parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
35
    parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
36
    parameter INIT_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
37
    parameter INIT_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
38
    parameter INIT_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
39
    parameter INIT_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
40
    parameter INIT_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
41
    parameter INIT_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
42
    parameter INIT_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
43
    parameter INIT_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
44
    parameter INIT_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
45
    parameter INIT_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
46
    parameter INIT_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
47
    parameter INIT_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
48
    parameter INIT_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
49
    parameter INIT_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
50
    parameter INIT_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
51
    parameter INIT_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
52
    parameter INIT_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
53
    parameter INIT_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
54
    parameter INIT_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
55
    parameter INIT_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
56
    parameter INIT_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
57
    parameter INIT_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
58
    parameter INIT_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
59
    parameter INIT_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
60
    parameter INIT_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
61
    parameter INIT_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
62
    parameter INIT_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
63
    parameter INIT_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
64
    parameter INIT_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
65
    parameter INIT_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
66
    parameter INIT_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
67
    parameter INIT_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
68
    parameter INIT_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
69
    parameter INIT_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
70
    parameter INIT_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
71
    parameter INIT_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
72
    parameter INIT_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
73
    parameter INIT_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
74
    parameter INIT_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
75
    parameter INIT_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
76
    parameter INIT_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
77
    parameter INIT_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
78
    parameter INIT_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
79
    parameter INIT_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
80
    parameter INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
81
    parameter INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
82
    parameter INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
83
    parameter INIT_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
84
    parameter INITP_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
85
    parameter INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
86
    parameter INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
87
    parameter INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
88
    parameter INITP_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
89
    parameter INITP_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
90
    parameter INITP_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
91
    parameter INITP_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
92
 
93
    output [15:0] DO;
94
    output [1:0] DOP;
95
    reg do0_out, do1_out, do2_out, do3_out, do4_out, do5_out, do6_out, do7_out, do8_out, do9_out, do10_out, do11_out, do12_out, do13_out, do14_out, do15_out;
96
    reg dop0_out, dop1_out;
97
 
98
    input [9:0] ADDR;
99
    input [15:0] DI;
100
    input [1:0] DIP;
101
    input EN, CLK, WE, SSR;
102
 
103
    reg [18431:0] mem;
104
    reg [8:0] count;
105
    reg [1:0] wr_mode;
106
 
107
    wire [9:0] addr_int;
108
    wire [15:0] di_int;
109
    wire [1:0] dip_int;
110
    wire en_int, clk_int, we_int, ssr_int;
111
 
112
    tri0 GSR = glbl.GSR;
113
 
114
    always @(GSR)
115
        if (GSR) begin
116
            assign do0_out = INIT[0];
117
            assign do1_out = INIT[1];
118
            assign do2_out = INIT[2];
119
            assign do3_out = INIT[3];
120
            assign do4_out = INIT[4];
121
            assign do5_out = INIT[5];
122
            assign do6_out = INIT[6];
123
            assign do7_out = INIT[7];
124
            assign do8_out = INIT[8];
125
            assign do9_out = INIT[9];
126
            assign do10_out = INIT[10];
127
            assign do11_out = INIT[11];
128
            assign do12_out = INIT[12];
129
            assign do13_out = INIT[13];
130
            assign do14_out = INIT[14];
131
            assign do15_out = INIT[15];
132
            assign dop0_out = INIT[16];
133
            assign dop1_out = INIT[17];
134
        end
135
        else begin
136
            deassign do0_out;
137
            deassign do1_out;
138
            deassign do2_out;
139
            deassign do3_out;
140
            deassign do4_out;
141
            deassign do5_out;
142
            deassign do6_out;
143
            deassign do7_out;
144
            deassign do8_out;
145
            deassign do9_out;
146
            deassign do10_out;
147
            deassign do11_out;
148
            deassign do12_out;
149
            deassign do13_out;
150
            deassign do14_out;
151
            deassign do15_out;
152
            deassign dop0_out;
153
            deassign dop1_out;
154
        end
155
 
156
    buf b_do_out0 (DO[0], do0_out);
157
    buf b_do_out1 (DO[1], do1_out);
158
    buf b_do_out2 (DO[2], do2_out);
159
    buf b_do_out3 (DO[3], do3_out);
160
    buf b_do_out4 (DO[4], do4_out);
161
    buf b_do_out5 (DO[5], do5_out);
162
    buf b_do_out6 (DO[6], do6_out);
163
    buf b_do_out7 (DO[7], do7_out);
164
    buf b_do_out8 (DO[8], do8_out);
165
    buf b_do_out9 (DO[9], do9_out);
166
    buf b_do_out10 (DO[10], do10_out);
167
    buf b_do_out11 (DO[11], do11_out);
168
    buf b_do_out12 (DO[12], do12_out);
169
    buf b_do_out13 (DO[13], do13_out);
170
    buf b_do_out14 (DO[14], do14_out);
171
    buf b_do_out15 (DO[15], do15_out);
172
    buf b_dop_out0 (DOP[0], dop0_out);
173
    buf b_dop_out1 (DOP[1], dop1_out);
174
    buf b_addr_0 (addr_int[0], ADDR[0]);
175
    buf b_addr_1 (addr_int[1], ADDR[1]);
176
    buf b_addr_2 (addr_int[2], ADDR[2]);
177
    buf b_addr_3 (addr_int[3], ADDR[3]);
178
    buf b_addr_4 (addr_int[4], ADDR[4]);
179
    buf b_addr_5 (addr_int[5], ADDR[5]);
180
    buf b_addr_6 (addr_int[6], ADDR[6]);
181
    buf b_addr_7 (addr_int[7], ADDR[7]);
182
    buf b_addr_8 (addr_int[8], ADDR[8]);
183
    buf b_addr_9 (addr_int[9], ADDR[9]);
184
    buf b_di_0 (di_int[0], DI[0]);
185
    buf b_di_1 (di_int[1], DI[1]);
186
    buf b_di_2 (di_int[2], DI[2]);
187
    buf b_di_3 (di_int[3], DI[3]);
188
    buf b_di_4 (di_int[4], DI[4]);
189
    buf b_di_5 (di_int[5], DI[5]);
190
    buf b_di_6 (di_int[6], DI[6]);
191
    buf b_di_7 (di_int[7], DI[7]);
192
    buf b_di_8 (di_int[8], DI[8]);
193
    buf b_di_9 (di_int[9], DI[9]);
194
    buf b_di_10 (di_int[10], DI[10]);
195
    buf b_di_11 (di_int[11], DI[11]);
196
    buf b_di_12 (di_int[12], DI[12]);
197
    buf b_di_13 (di_int[13], DI[13]);
198
    buf b_di_14 (di_int[14], DI[14]);
199
    buf b_di_15 (di_int[15], DI[15]);
200
    buf b_dip_0 (dip_int[0], DIP[0]);
201
    buf b_dip_1 (dip_int[1], DIP[1]);
202
    buf b_en (en_int, EN);
203
    buf b_clk (clk_int, CLK);
204
    buf b_we (we_int, WE);
205
    buf b_ssr (ssr_int, SSR);
206
 
207
    initial begin
208
        for (count = 0; count < 256; count = count + 1) begin
209
            mem[count]            <= INIT_00[count];
210
            mem[256 * 1 + count]  <= INIT_01[count];
211
            mem[256 * 2 + count]  <= INIT_02[count];
212
            mem[256 * 3 + count]  <= INIT_03[count];
213
            mem[256 * 4 + count]  <= INIT_04[count];
214
            mem[256 * 5 + count]  <= INIT_05[count];
215
            mem[256 * 6 + count]  <= INIT_06[count];
216
            mem[256 * 7 + count]  <= INIT_07[count];
217
            mem[256 * 8 + count]  <= INIT_08[count];
218
            mem[256 * 9 + count]  <= INIT_09[count];
219
            mem[256 * 10 + count] <= INIT_0A[count];
220
            mem[256 * 11 + count] <= INIT_0B[count];
221
            mem[256 * 12 + count] <= INIT_0C[count];
222
            mem[256 * 13 + count] <= INIT_0D[count];
223
            mem[256 * 14 + count] <= INIT_0E[count];
224
            mem[256 * 15 + count] <= INIT_0F[count];
225
            mem[256 * 16 + count] <= INIT_10[count];
226
            mem[256 * 17 + count] <= INIT_11[count];
227
            mem[256 * 18 + count] <= INIT_12[count];
228
            mem[256 * 19 + count] <= INIT_13[count];
229
            mem[256 * 20 + count] <= INIT_14[count];
230
            mem[256 * 21 + count] <= INIT_15[count];
231
            mem[256 * 22 + count] <= INIT_16[count];
232
            mem[256 * 23 + count] <= INIT_17[count];
233
            mem[256 * 24 + count] <= INIT_18[count];
234
            mem[256 * 25 + count] <= INIT_19[count];
235
            mem[256 * 26 + count] <= INIT_1A[count];
236
            mem[256 * 27 + count] <= INIT_1B[count];
237
            mem[256 * 28 + count] <= INIT_1C[count];
238
            mem[256 * 29 + count] <= INIT_1D[count];
239
            mem[256 * 30 + count] <= INIT_1E[count];
240
            mem[256 * 31 + count] <= INIT_1F[count];
241
            mem[256 * 32 + count] <= INIT_20[count];
242
            mem[256 * 33 + count] <= INIT_21[count];
243
            mem[256 * 34 + count] <= INIT_22[count];
244
            mem[256 * 35 + count] <= INIT_23[count];
245
            mem[256 * 36 + count] <= INIT_24[count];
246
            mem[256 * 37 + count] <= INIT_25[count];
247
            mem[256 * 38 + count] <= INIT_26[count];
248
            mem[256 * 39 + count] <= INIT_27[count];
249
            mem[256 * 40 + count] <= INIT_28[count];
250
            mem[256 * 41 + count] <= INIT_29[count];
251
            mem[256 * 42 + count] <= INIT_2A[count];
252
            mem[256 * 43 + count] <= INIT_2B[count];
253
            mem[256 * 44 + count] <= INIT_2C[count];
254
            mem[256 * 45 + count] <= INIT_2D[count];
255
            mem[256 * 46 + count] <= INIT_2E[count];
256
            mem[256 * 47 + count] <= INIT_2F[count];
257
            mem[256 * 48 + count] <= INIT_30[count];
258
            mem[256 * 49 + count] <= INIT_31[count];
259
            mem[256 * 50 + count] <= INIT_32[count];
260
            mem[256 * 51 + count] <= INIT_33[count];
261
            mem[256 * 52 + count] <= INIT_34[count];
262
            mem[256 * 53 + count] <= INIT_35[count];
263
            mem[256 * 54 + count] <= INIT_36[count];
264
            mem[256 * 55 + count] <= INIT_37[count];
265
            mem[256 * 56 + count] <= INIT_38[count];
266
            mem[256 * 57 + count] <= INIT_39[count];
267
            mem[256 * 58 + count] <= INIT_3A[count];
268
            mem[256 * 59 + count] <= INIT_3B[count];
269
            mem[256 * 60 + count] <= INIT_3C[count];
270
            mem[256 * 61 + count] <= INIT_3D[count];
271
            mem[256 * 62 + count] <= INIT_3E[count];
272
            mem[256 * 63 + count] <= INIT_3F[count];
273
            mem[256 * 64 + count] <= INITP_00[count];
274
            mem[256 * 65 + count] <= INITP_01[count];
275
            mem[256 * 66 + count] <= INITP_02[count];
276
            mem[256 * 67 + count] <= INITP_03[count];
277
            mem[256 * 68 + count] <= INITP_04[count];
278
            mem[256 * 69 + count] <= INITP_05[count];
279
            mem[256 * 70 + count] <= INITP_06[count];
280
            mem[256 * 71 + count] <= INITP_07[count];
281
        end
282
    end
283
 
284
    initial begin
285
        case (WRITE_MODE)
286
            "WRITE_FIRST" : wr_mode <= 2'b00;
287
            "READ_FIRST"  : wr_mode <= 2'b01;
288
            "NO_CHANGE"   : wr_mode <= 2'b10;
289
            default       : begin
290
                                $display("Error : WRITE_MODE = %s is not WRITE_FIRST, READ_FIRST or NO_CHANGE.", WRITE_MODE);
291
                                $finish;
292
                            end
293
        endcase
294
    end
295
 
296
    always @(posedge clk_int) begin
297
        if (en_int == 1'b1) begin
298
            if (ssr_int == 1'b1) begin
299
                do0_out <= SRVAL[0];
300
                do1_out <= SRVAL[1];
301
                do2_out <= SRVAL[2];
302
                do3_out <= SRVAL[3];
303
                do4_out <= SRVAL[4];
304
                do5_out <= SRVAL[5];
305
                do6_out <= SRVAL[6];
306
                do7_out <= SRVAL[7];
307
                do8_out <= SRVAL[8];
308
                do9_out <= SRVAL[9];
309
                do10_out <= SRVAL[10];
310
                do11_out <= SRVAL[11];
311
                do12_out <= SRVAL[12];
312
                do13_out <= SRVAL[13];
313
                do14_out <= SRVAL[14];
314
                do15_out <= SRVAL[15];
315
                dop0_out <= SRVAL[16];
316
                dop1_out <= SRVAL[17];
317
            end
318
            else begin
319
                if (we_int == 1'b1) begin
320
                    if (wr_mode == 2'b00) begin
321
                        do0_out <= di_int[0];
322
                        do1_out <= di_int[1];
323
                        do2_out <= di_int[2];
324
                        do3_out <= di_int[3];
325
                        do4_out <= di_int[4];
326
                        do5_out <= di_int[5];
327
                        do6_out <= di_int[6];
328
                        do7_out <= di_int[7];
329
                        do8_out <= di_int[8];
330
                        do9_out <= di_int[9];
331
                        do10_out <= di_int[10];
332
                        do11_out <= di_int[11];
333
                        do12_out <= di_int[12];
334
                        do13_out <= di_int[13];
335
                        do14_out <= di_int[14];
336
                        do15_out <= di_int[15];
337
                        dop0_out <= dip_int[0];
338
                        dop1_out <= dip_int[1];
339
                    end
340
                    else if (wr_mode == 2'b01) begin
341
                        do0_out <= mem[addr_int * 16 + 0];
342
                        do1_out <= mem[addr_int * 16 + 1];
343
                        do2_out <= mem[addr_int * 16 + 2];
344
                        do3_out <= mem[addr_int * 16 + 3];
345
                        do4_out <= mem[addr_int * 16 + 4];
346
                        do5_out <= mem[addr_int * 16 + 5];
347
                        do6_out <= mem[addr_int * 16 + 6];
348
                        do7_out <= mem[addr_int * 16 + 7];
349
                        do8_out <= mem[addr_int * 16 + 8];
350
                        do9_out <= mem[addr_int * 16 + 9];
351
                        do10_out <= mem[addr_int * 16 + 10];
352
                        do11_out <= mem[addr_int * 16 + 11];
353
                        do12_out <= mem[addr_int * 16 + 12];
354
                        do13_out <= mem[addr_int * 16 + 13];
355
                        do14_out <= mem[addr_int * 16 + 14];
356
                        do15_out <= mem[addr_int * 16 + 15];
357
                        dop0_out <= mem[16384 + addr_int * 2 + 0];
358
                        dop1_out <= mem[16384 + addr_int * 2 + 1];
359
                    end
360
                    else begin
361
                        do0_out <= do0_out;
362
                        do1_out <= do1_out;
363
                        do2_out <= do2_out;
364
                        do3_out <= do3_out;
365
                        do4_out <= do4_out;
366
                        do5_out <= do5_out;
367
                        do6_out <= do6_out;
368
                        do7_out <= do7_out;
369
                        do8_out <= do8_out;
370
                        do9_out <= do9_out;
371
                        do10_out <= do10_out;
372
                        do11_out <= do11_out;
373
                        do12_out <= do12_out;
374
                        do13_out <= do13_out;
375
                        do14_out <= do14_out;
376
                        do15_out <= do15_out;
377
                        dop0_out <= dop0_out;
378
                        dop1_out <= dop1_out;
379
                    end
380
                end
381
                else begin
382
                    do0_out <= mem[addr_int * 16 + 0];
383
                    do1_out <= mem[addr_int * 16 + 1];
384
                    do2_out <= mem[addr_int * 16 + 2];
385
                    do3_out <= mem[addr_int * 16 + 3];
386
                    do4_out <= mem[addr_int * 16 + 4];
387
                    do5_out <= mem[addr_int * 16 + 5];
388
                    do6_out <= mem[addr_int * 16 + 6];
389
                    do7_out <= mem[addr_int * 16 + 7];
390
                    do8_out <= mem[addr_int * 16 + 8];
391
                    do9_out <= mem[addr_int * 16 + 9];
392
                    do10_out <= mem[addr_int * 16 + 10];
393
                    do11_out <= mem[addr_int * 16 + 11];
394
                    do12_out <= mem[addr_int * 16 + 12];
395
                    do13_out <= mem[addr_int * 16 + 13];
396
                    do14_out <= mem[addr_int * 16 + 14];
397
                    do15_out <= mem[addr_int * 16 + 15];
398
                    dop0_out <= mem[16384 + addr_int * 2 + 0];
399
                    dop1_out <= mem[16384 + addr_int * 2 + 1];
400
                end
401
            end
402
        end
403
    end
404
 
405
    always @(posedge clk_int) begin
406
        if (en_int == 1'b1 && we_int == 1'b1) begin
407
            mem[addr_int * 16 + 0] <= di_int[0];
408
            mem[addr_int * 16 + 1] <= di_int[1];
409
            mem[addr_int * 16 + 2] <= di_int[2];
410
            mem[addr_int * 16 + 3] <= di_int[3];
411
            mem[addr_int * 16 + 4] <= di_int[4];
412
            mem[addr_int * 16 + 5] <= di_int[5];
413
            mem[addr_int * 16 + 6] <= di_int[6];
414
            mem[addr_int * 16 + 7] <= di_int[7];
415
            mem[addr_int * 16 + 8] <= di_int[8];
416
            mem[addr_int * 16 + 9] <= di_int[9];
417
            mem[addr_int * 16 + 10] <= di_int[10];
418
            mem[addr_int * 16 + 11] <= di_int[11];
419
            mem[addr_int * 16 + 12] <= di_int[12];
420
            mem[addr_int * 16 + 13] <= di_int[13];
421
            mem[addr_int * 16 + 14] <= di_int[14];
422
            mem[addr_int * 16 + 15] <= di_int[15];
423
            mem[16384 + addr_int * 2 + 0] <= dip_int[0];
424
            mem[16384 + addr_int * 2 + 1] <= dip_int[1];
425
        end
426
    end
427
 
428
    specify
429
        (CLK *> DO) = (1, 1);
430
        (CLK *> DOP) = (1, 1);
431
    endspecify
432
 
433
endmodule
434
 
435
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.