OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [gdb-5.0/] [sim/] [common/] [hw-device.c] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 106 markom
/*  This file is part of the program psim.
2
 
3
    Copyright (C) 1994-1998, Andrew Cagney <cagney@highland.com.au>
4
 
5
    This program is free software; you can redistribute it and/or modify
6
    it under the terms of the GNU General Public License as published by
7
    the Free Software Foundation; either version 2 of the License, or
8
    (at your option) any later version.
9
 
10
    This program is distributed in the hope that it will be useful,
11
    but WITHOUT ANY WARRANTY; without even the implied warranty of
12
    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
    GNU General Public License for more details.
14
 
15
    You should have received a copy of the GNU General Public License
16
    along with this program; if not, write to the Free Software
17
    Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.
18
 
19
    */
20
 
21
 
22
#include "hw-main.h"
23
#include "hw-base.h"
24
 
25
#if HAVE_STDLIB_H
26
#include <stdlib.h>
27
#endif
28
 
29
/* Address methods */
30
 
31
const hw_unit *
32
hw_unit_address (struct hw *me)
33
{
34
  return &me->unit_address_of_hw;
35
}
36
 
37
 
38
/* IOCTL: */
39
 
40
int
41
hw_ioctl (struct hw *me,
42
          hw_ioctl_request request,
43
          ...)
44
{
45
  int status;
46
  va_list ap;
47
  va_start(ap, request);
48
  status = me->to_ioctl (me, request, ap);
49
  va_end(ap);
50
  return status;
51
}
52
 
53
char *
54
hw_strdup (struct hw *me, const char *str)
55
{
56
  if (str != NULL)
57
    {
58
      char *dup = hw_zalloc (me, strlen (str) + 1);
59
      strcpy (dup, str);
60
      return dup;
61
    }
62
  else
63
    {
64
      return NULL;
65
    }
66
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.