OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [linux/] [linux-2.4/] [arch/] [arm/] [lib/] [Makefile] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1275 phoenix
#
2
# linux/arch/arm/lib/Makefile
3
#
4
# Copyright (C) 1995-2000 Russell King
5
#
6
 
7
USE_STANDARD_AS_RULE := true
8
 
9
L_TARGET        := lib.a
10
 
11
obj-y           := backtrace.o changebit.o csumipv6.o csumpartial.o   \
12
                   csumpartialcopy.o csumpartialcopyuser.o clearbit.o \
13
                   copy_page.o delay.o findbit.o memchr.o memcpy.o    \
14
                   memset.o memzero.o setbit.o strncpy_from_user.o    \
15
                   strnlen_user.o strchr.o strrchr.o testchangebit.o  \
16
                   testclearbit.o testsetbit.o uaccess.o getuser.o    \
17
                   putuser.o ashldi3.o ashrdi3.o lshrdi3.o muldi3.o   \
18
                   ucmpdi2.o udivdi3.o lib1funcs.o
19
obj-m           :=
20
obj-n           :=
21
 
22
obj-$(CONFIG_VT)+= kbd.o
23
 
24
obj-arc         := ecard.o io-acorn.o floppydma.o
25
obj-rpc         := ecard.o io-acorn.o floppydma.o
26
obj-clps7500    := io-acorn.o
27
obj-l7200       := io-acorn.o
28
obj-shark       := io-shark.o
29
obj-edb7211     := io-acorn.o
30
obj-riscstation := io-acorn.o floppydma.o
31
 
32
obj-y           += $(obj-$(MACHINE))
33
 
34
ifeq ($(CONFIG_CPU_32v3),y)
35
  v3            := y
36
  v4            := n
37
else
38
  v3            := n
39
  v4            := y
40
endif
41
 
42
obj-y           += io-readsb.o io-writesb.o
43
obj-$(v3)       += io-readsw-armv3.o io-writesw-armv3.o io-readsl-armv3.o
44
obj-$(v4)       += io-readsw-armv4.o io-writesw-armv4.o io-readsl-armv4.o
45
obj-y           += io-writesl.o
46
 
47
ifeq ($(PROCESSOR),armo)
48
  obj-y         += uaccess-armo.o
49
endif
50
 
51
include $(TOPDIR)/Rules.make
52
 
53
csumpartialcopy.o: csumpartialcopygeneric.S
54
csumpartialcopyuser.o: csumpartialcopygeneric.S

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.