OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [linux/] [linux-2.4/] [lib/] [ctype.c] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 1275 phoenix
/*
2
 *  linux/lib/ctype.c
3
 *
4
 *  Copyright (C) 1991, 1992  Linus Torvalds
5
 */
6
 
7
#include <linux/ctype.h>
8
 
9
unsigned char _ctype[] = {
10
_C,_C,_C,_C,_C,_C,_C,_C,                        /* 0-7 */
11
_C,_C|_S,_C|_S,_C|_S,_C|_S,_C|_S,_C,_C,         /* 8-15 */
12
_C,_C,_C,_C,_C,_C,_C,_C,                        /* 16-23 */
13
_C,_C,_C,_C,_C,_C,_C,_C,                        /* 24-31 */
14
_S|_SP,_P,_P,_P,_P,_P,_P,_P,                    /* 32-39 */
15
_P,_P,_P,_P,_P,_P,_P,_P,                        /* 40-47 */
16
_D,_D,_D,_D,_D,_D,_D,_D,                        /* 48-55 */
17
_D,_D,_P,_P,_P,_P,_P,_P,                        /* 56-63 */
18
_P,_U|_X,_U|_X,_U|_X,_U|_X,_U|_X,_U|_X,_U,      /* 64-71 */
19
_U,_U,_U,_U,_U,_U,_U,_U,                        /* 72-79 */
20
_U,_U,_U,_U,_U,_U,_U,_U,                        /* 80-87 */
21
_U,_U,_U,_P,_P,_P,_P,_P,                        /* 88-95 */
22
_P,_L|_X,_L|_X,_L|_X,_L|_X,_L|_X,_L|_X,_L,      /* 96-103 */
23
_L,_L,_L,_L,_L,_L,_L,_L,                        /* 104-111 */
24
_L,_L,_L,_L,_L,_L,_L,_L,                        /* 112-119 */
25
_L,_L,_L,_P,_P,_P,_P,_C,                        /* 120-127 */
26
0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,                /* 128-143 */
27
0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,                /* 144-159 */
28
_S|_SP,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,   /* 160-175 */
29
_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,_P,       /* 176-191 */
30
_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,_U,       /* 192-207 */
31
_U,_U,_U,_U,_U,_U,_U,_P,_U,_U,_U,_U,_U,_U,_U,_L,       /* 208-223 */
32
_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,_L,       /* 224-239 */
33
_L,_L,_L,_L,_L,_L,_L,_P,_L,_L,_L,_L,_L,_L,_L,_L};      /* 240-255 */
34
 
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.