OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [FDSE_1.v] - Blame information for rev 1767

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/FDSE_1.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : D-FLIP-FLOP with sync set and clock enable
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module FDSE_1 (Q, C, CE, D, S);
14
 
15
    parameter cds_action = "ignore";
16
    parameter INIT = 1'b1;
17
 
18
    output Q;
19
    reg    q_out;
20
 
21
    input  C, CE, D, S;
22
 
23
    tri0 GSR = glbl.GSR;
24
 
25
    buf B1 (Q, q_out);
26
 
27
        always @(GSR)
28
            if (GSR)
29
                assign q_out = INIT;
30
            else
31
                deassign q_out;
32
 
33
        always @(negedge C)
34
            if (S)
35
                q_out <= 1;
36
            else if (CE)
37
                q_out <= D;
38
 
39
    specify
40
        if (S)
41
            (negedge C => (Q +: 1'b1)) = (1, 1);
42
        if (!S && CE)
43
            (negedge C => (Q +: D)) = (1, 1);
44
    endspecify
45
 
46
endmodule
47
 
48
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.