OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT1.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT1.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 2-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT1 (O, I0);
13
 
14
    parameter INIT = 2'h0;
15
 
16
    input I0;
17
 
18
    output O;
19
 
20
    wire out;
21
 
22
    lut1_mux2 (out, INIT[1], INIT[0], I0);
23
 
24
    buf b1 (O, out);
25
 
26
    specify
27
        (I0 *> O) = (1, 1);
28
    endspecify
29
 
30
endmodule
31
 
32
`endcelldefine
33
 
34
primitive lut1_mux2 (O, d1, d0, s0);
35
 
36
  output O;
37
  input d1, d0;
38
  input s0;
39
 
40
  table
41
 
42
    // d1  d0  s0 : O;
43
 
44
       ?   1   0  : 1;
45
       ?   0   0  : 0;
46
       1   ?   1  : 1;
47
 
48
 
49
       1   1   x  : 1;
50
 
51
  endtable
52
 
53
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.