OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT2_L.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT2_L.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 2-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT2_L (LO, I0, I1);
13
 
14
    parameter INIT = 4'h0;
15
 
16
    input I0, I1;
17
 
18
    output LO;
19
 
20
    wire out;
21
 
22
    lut2_l_mux4 (out, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
23
 
24
    buf b3 (LO, out);
25
 
26
    specify
27
        (I0 *> LO) = (1, 1);
28
        (I1 *> LO) = (1, 1);
29
    endspecify
30
 
31
endmodule
32
 
33
`endcelldefine
34
 
35
primitive lut2_l_mux4 (o, d3, d2, d1, d0, s1, s0);
36
 
37
  output o;
38
  input d3, d2, d1, d0;
39
  input s1, s0;
40
 
41
  table
42
 
43
    // d3  d2  d1  d0  s1  s0 : o;
44
 
45
       ?   ?   ?   1   0   0  : 1;
46
       ?   ?   ?   0   0   0  : 0;
47
       ?   ?   1   ?   0   1  : 1;
48
       ?   ?   0   ?   0   1  : 0;
49
       ?   1   ?   ?   1   0  : 1;
50
       ?   0   ?   ?   1   0  : 0;
51
       1   ?   ?   ?   1   1  : 1;
52
 
53
 
54
       ?   ?   0   0   0   x  : 0;
55
       ?   ?   1   1   0   x  : 1;
56
 
57
       1   1   ?   ?   1   x  : 1;
58
 
59
       ?   0   ?   0   x   0  : 0;
60
       ?   1   ?   1   x   0  : 1;
61
 
62
       1   ?   1   ?   x   1  : 1;
63
 
64
 
65
       1   1   1   1   x   x  : 1;
66
 
67
  endtable
68
 
69
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.