OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT3_D.v] - Blame information for rev 1767

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT3_D.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 3-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT3_D (LO, O, I0, I1, I2);
13
 
14
    parameter INIT = 8'h00;
15
 
16
    input I0, I1, I2;
17
 
18
    output LO, O;
19
 
20
    wire out0, out1, out;
21
 
22
    lut3_d_mux4 (out1, INIT[7], INIT[6], INIT[5], INIT[4], I1, I0);
23
    lut3_d_mux4 (out0, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
24
    lut3_d_mux4 (out, 1'b0, 1'b0, out1, out0, 1'b0, I2);
25
 
26
    buf b3 (LO, out);
27
    buf b4 (O, out);
28
 
29
    specify
30
        (I0 *> LO) = (1, 1);
31
        (I1 *> LO) = (1, 1);
32
        (I2 *> LO) = (1, 1);
33
 
34
        (I0 *> O) = (1, 1);
35
        (I1 *> O) = (1, 1);
36
        (I2 *> O) = (1, 1);
37
    endspecify
38
 
39
endmodule
40
 
41
`endcelldefine
42
 
43
primitive lut3_d_mux4 (O, d3, d2, d1, d0, s1, s0);
44
 
45
  output O;
46
  input d3, d2, d1, d0;
47
  input s1, s0;
48
 
49
  table
50
 
51
    // d3  d2  d1  d0  s1  s0 : O;
52
 
53
       ?   ?   ?   1   0   0  : 1;
54
       ?   ?   ?   0   0   0  : 0;
55
       ?   ?   1   ?   0   1  : 1;
56
       ?   ?   0   ?   0   1  : 0;
57
       ?   1   ?   ?   1   0  : 1;
58
       ?   0   ?   ?   1   0  : 0;
59
       1   ?   ?   ?   1   1  : 1;
60
 
61
 
62
       ?   ?   0   0   0   x  : 0;
63
       ?   ?   1   1   0   x  : 1;
64
 
65
       1   1   ?   ?   1   x  : 1;
66
 
67
       ?   0   ?   0   x   0  : 0;
68
       ?   1   ?   1   x   0  : 1;
69
 
70
       1   ?   1   ?   x   1  : 1;
71
 
72
 
73
       1   1   1   1   x   x  : 1;
74
 
75
  endtable
76
 
77
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.