OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT4.v] - Blame information for rev 1765

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT4.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
2
/*
3
 
4
FUNCTION        : 4-inputs LUT
5
 
6
*/
7
 
8
`timescale  100 ps / 10 ps
9
 
10
`celldefine
11
 
12
module LUT4 (O, I0, I1, I2, I3);
13
 
14
    parameter INIT = 16'h0000;
15
 
16
    input I0, I1, I2, I3;
17
 
18
    output O;
19
 
20
    wire out0, out1, out2, out3, out;
21
 
22
    lut4_mux4 (out3, INIT[15], INIT[14], INIT[13], INIT[12], I1, I0);
23
    lut4_mux4 (out2, INIT[11], INIT[10], INIT[9], INIT[8], I1, I0);
24
    lut4_mux4 (out1, INIT[7], INIT[6], INIT[5], INIT[4], I1, I0);
25
    lut4_mux4 (out0, INIT[3], INIT[2], INIT[1], INIT[0], I1, I0);
26
    lut4_mux4 (out, out3, out2, out1, out0, I3, I2);
27
 
28
    buf b4 (O, out);
29
 
30
    specify
31
        (I0 *> O) = (1, 1);
32
        (I1 *> O) = (1, 1);
33
        (I2 *> O) = (1, 1);
34
        (I3 *> O) = (1, 1);
35
    endspecify
36
 
37
endmodule
38
 
39
`endcelldefine
40
 
41
primitive lut4_mux4 (O, d3, d2, d1, d0, s1, s0);
42
 
43
  output O;
44
  input d3, d2, d1, d0;
45
  input s1, s0;
46
 
47
  table
48
 
49
    // d3  d2  d1  d0  s1  s0 : O;
50
 
51
       ?   ?   ?   1   0   0  : 1;
52
       ?   ?   ?   0   0   0  : 0;
53
       ?   ?   1   ?   0   1  : 1;
54
       ?   ?   0   ?   0   1  : 0;
55
       ?   1   ?   ?   1   0  : 1;
56
       ?   0   ?   ?   1   0  : 0;
57
       1   ?   ?   ?   1   1  : 1;
58
 
59
 
60
       ?   ?   0   0   0   x  : 0;
61
       ?   ?   1   1   0   x  : 1;
62
 
63
       1   1   ?   ?   1   x  : 1;
64
 
65
       ?   0   ?   0   x   0  : 0;
66
       ?   1   ?   1   x   0  : 1;
67
 
68
       1   ?   1   ?   x   1  : 1;
69
 
70
 
71
       1   1   1   1   x   x  : 1;
72
 
73
  endtable
74
 
75
endprimitive

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.