OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [RAM16X1.v] - Blame information for rev 1767

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 266 lampret
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/RAM16X1.v,v 1.1.1.1 2001-11-04 18:59:50 lampret Exp $
2
 
3
/*
4
 
5
FUNCTION        : RAM 16x1
6
 
7
*/
8
 
9
`timescale  100 ps / 10 ps
10
 
11
`celldefine
12
 
13
module RAM16X1 (O, A0, A1, A2, A3, D, WE);
14
 
15
    parameter cds_action = "ignore";
16
    parameter INIT = 16'h0000;
17
 
18
    output O;
19
 
20
    input  A0, A1, A2, A3, D, WE;
21
 
22
    wire [3:0] adr;
23
    wire din, wen;
24
    wire dout;
25
 
26
    reg  mem [0:15];
27
    reg  [4:0] count;
28
 
29
    buf b1 (din, D);
30
    buf b2 (wen,WE);
31
    buf b3 (adr[3],A3);
32
    buf b4 (adr[2],A2);
33
    buf b5 (adr[1],A1);
34
    buf b6 (adr[0],A0);
35
    buf b7 (O, dout);
36
 
37
    initial begin
38
        for(count = 0; count < 16; count = count + 1)
39
            mem[count] = INIT[count];
40
    end
41
 
42
    assign dout = mem[adr];
43
 
44
    always @ (din or adr or wen) begin
45
        if (wen)
46
            mem[adr] = din;
47
    end
48
 
49
    specify
50
        if (WE)
51
            (D => O) = (1, 1);
52
        (A3 => O) = (1, 1);
53
        (A2 => O) = (1, 1);
54
        (A1 => O) = (1, 1);
55
        (A0 => O) = (1, 1);
56
        (posedge WE => (O +: D)) = (1, 1);
57
    endspecify
58
 
59
endmodule
60
 
61
`endcelldefine

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.